虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Cadence Sigrity PowerDC

  • dra_psm.rar

    利用cadence软件做的常用器件的封装。

    标签: dra_psm

    上传时间: 2013-07-24

    上传用户:tianjinfan

  • VerilogHDL数字设计与综合夏宇闻译(第二版)

    Verilog HDL是一种硬件描述语言(HDL:Hardware Discription Language),是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。 Verilog HDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由Gateway Design Automation公司(该公司于1989年被Cadence公司收购)开发。该书本由浅入深的介绍了该技术的相关知识。推荐阅读。

    标签: VerilogHDL 数字设计

    上传时间: 2013-05-30

    上传用户:13081287919

  • 利用Cadence ALlegro进行PCB级的信号完整性仿真

    随着信息宽带化和高速化的发展,以前的低速PCB已完全不能满足日益增长信息化发展的需要,而高速PCB的出现将对硬件人员提出更高的要求,仅仅依靠自

    标签: Cadence ALlegro PCB 信号完整性

    上传时间: 2013-05-22

    上传用户:julin2009

  • Orcad

    Cadence软件使用的教材书,很不错的内容的

    标签: Orcad

    上传时间: 2013-06-05

    上传用户:00.00

  • 交织与解交织的算法研究及FPGA实现

    本文主要研究了数字声音广播系统(DAB)内交织器与解交织器的算法及硬件实现方法。时间交织器与解交织器的硬件实现可以有几种实现方案,本文对其性能进行了分析比较,选择了一种工程中实用的设计方案进行设计,并将设计结果以FPGA设计验证。时间解交织器的交织速度、电路面积、占用内存、是设计中主要因素,文中采用了单口SRAM实现,减少了对存储器的使用,利用lC设计的优化设计方法来改善电路的面积。硬件实现是采用工业EDA标准Top-to-Down设计思想来设计时间解交织,使用verilogHDL硬件描述语言来描述解交织器,用Cadence Nc-verilog进行仿真,Debussy进行debug,在Altera公司的FPGA开发板上进行测试,然后用ASIC实现。测试结果证明:时间解交织器的输出正确,实现速度较快,占用面积较小。

    标签: FPGA 算法研究

    上传时间: 2013-04-24

    上传用户:梧桐

  • 中兴通讯康讯EDA工具手册

    Cadence 软件是我们公司统一使用的原理图设计、PCB 设计、高速仿真、自动布线的EDA 工具。本篇 Cadence 使用手册是一本基于Allegro SPB V15.2 版本的Cadence 软件的基础使用手册,包括原理图设计、 PCB 设计、高速仿真、约束管理器、自动布线五个方面的内容,是一个入门级的教材。通过这本手册旨在 让新进员工能掌握Cadence 的基本使用方法,能独立进行原理图及PCB 的设计,了解自动布线、约束管理 器的使用,熟悉高速仿真的过程,并对公司的EDA 流程有全面的了解。

    标签: EDA 中兴通讯

    上传时间: 2013-04-24

    上传用户:天天天天

  • Pspice中变压器的使用

    教你如何在Cadence Pspice中使用变压器

    标签: Pspice 变压器

    上传时间: 2013-05-23

    上传用户:飞翔的胸毛

  • Cadence spectra 16.30

    SPECCTRA 提供设计师一种以形状为基础的,功能强大的绕线器,可在减少使用者介入情况下完成各种复杂设计。

    标签: Cadence spectra 16.30

    上传时间: 2013-06-24

    上传用户:jxfzjh

  • SPICE 60

    Cadence OrCAD 10.5, 让PCB的设计进入更细节阶段。与PSpice结合可应用于在Allegro平台上。此套组系为一完整涵盖前端至后端、使用微软视窗平台的流程,可以供印刷电路板(PCB) 设计师透过工具整合与程式自动化改善生产力

    标签: SPICE 60

    上传时间: 2013-06-07

    上传用户:225588

  • NC-Verlog/NC-VHDL/NC-SIM 1.150

    Cadence公司出品,很好的Verilog/VHDL仿真工具,其中NC-Verilog 的前身是著名的Verilog仿真软件:Verilog-XL,用于Verilog仿真;NC-VHDL,用于VHDL仿真;NC-Sim,是Verilog/VHDL混合语言仿真工具

    标签: NC-Verlog NC-VHDL NC-SIM 1.150

    上传时间: 2013-05-26

    上传用户:jacking