虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Bayer

  • Bayer抖动法显示图像及随机抖动法显示图像

    Bayer抖动法显示图像及随机抖动法显示图像

    标签: Bayer 抖动 图像 随机

    上传时间: 2013-12-09

    上传用户:xcy122677

  • 基于FPGA的实时图像采集与处理系统研究.rar

    随着数码技术的不断发展,数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其非常适用于进行一些基于像素级的图像处理。 传统的图像显示系统必须连接到PC才能观察图像视频,存在着高速实时性、稳定性问题。本设计脱离高清晰工业相机必须与PC连接才可以观看到高清晰图像的束缚,实现系统的小型化。针对130万像素彩色1/2英寸镁光CMOS图像传感器,提出用硬件实现Bayer格式到RGB格式转换的设计方案,完成由黑白图像到高清彩色图像的转换,用SDRAM作缓存,输出标准VGA信号,可直接连接VGA显示器、投影仪等设备进行实时的视频图像观看,与模拟相机740X576分辨率(480线)图像相比,设计图像画质相当于1280X1024分辨率(750线),最高帧率25fps,整个结构应用FPGA作为主控制器,用少量的缓存代替传统的大容量存储,加快了运算速率,减小了电路规模,满足图像实时处理的要求,使展现出来的视频图像得到质的飞跃。可以广泛应用于工业控制和远程监控等领域。 论文研究的重点是采用altera公司EP2C芯片前端驱动CMOS图像传感器,实时采集Bayer图像象素,分析研究CFA图像插值算法,实现了基于FPGA的实时线性插值算法,能够对输入是每像素8bit、分辨率为1280×1204的Bayer模式图像数据进行实时重构,输出彩色RGB图像。由端口FIFO作为数据缓冲,存储一帧图像到高速SDRAM,构建VGA显示控制器,实现对输入是每像素24bit(RGB101010)、分辨率为640×480、帧频25HZ彩色图像进行实时显示。 整个模块结构包括电源模块单元等、CMOS成像单元、FPGA数据处理单元、SDRAM控制单元、VGA显示接口单元。 最后,对系统进行了调试。经实验验证,系统达到了实时性,能正确和可靠的工作。整个设计模块能够满足高帧率和高清晰的实时图像处理,占用系统资源很少,用较少的时间完成了图像数据的转换,提高了效率。

    标签: FPGA 实时图像采集 与处理系统

    上传时间: 2013-06-08

    上传用户:zhengjian

  • CCD图像的颜色插值算法研究

    论文研究了基于Bayer格式的CCD原始图像的颜色插值算法,并将设计的改进算法应用到以FPGA为核心的图像采集前端。出于对成本和体积的考虑,一般的数字图像采集系统采用单片CCD或CMOS图像传感器,然后在感光表面覆盖一层颜色...

    标签: CCD 图像 插值 算法研究

    上传时间: 2013-08-04

    上传用户:zhengjian

  • CCD图像的颜色插值算法研究

    论文研究了基于Bayer格式的CCD原始图像的颜色插值算法,并将设计的改进算法应用到以FPGA为核心的图像采集前端。出于对成本和体积的考虑,一般的数字图像采集系统采用单片CCD或CMOS图像传感器,然后在感光表面覆盖一层颜色滤波阵列(CFA),经过CFA后每个像素点只能获得物理三基色(红、绿、蓝)其中一种分量,形成马赛克图像。为了获得全彩色图像,就要利用周围像素点的值近似地计算出被滤掉的颜色分量,称这个过程为颜色插值。由于当前对图像采集系统的实时性要求越来越高,业内已经开始广泛采用FPGA来进行图像处理,充分发挥硬件并行运算的速度优势,以求在处理速度和成像质量两方面均达到满意的效果。。主要的工作内容如下:    本文首先介绍了彩色滤波阵列、图像色彩恢复和插值算法的概念,然后分析和研究了当下常用的颜色插值算法,如双线性插值算法、加权系数法等等,指出了各个算法的特点和不足;接下来针对硬件系统并行运算的特性和实时性处理的要求,结合其中两种算法的思路设计了适用于硬件的改进算法,该算法主要引入了方向标志位的概念以及平滑的边界仲裁法则来检测边界,借鉴利用梯度的三角函数关系来判断边界方向,通过简化且适用于硬件的方法计算加权系数,从而选择合适的方向进行插值。    在介绍了FPGA用于图像处理的优势后,针对FPGA的特点采用模块化结构设计,详细阐述了本文算法的软件实现过程及所使用到的关键技术;文章设计了一个以FPGA为核心的前端图像采集平台,并将改进插值算法应用到整个系统当中。详细分析了采集前端的硬件需求,讨论了核心芯片的选型和硬件平台设计中的注意事项,完成了印制电路板的制作。    文章通过MATLAB仿真得到了量化的性能评估数据,并选取几种算法在硬件平台上运行,得到了实验图片。最后结合图片的视觉效果和仿真数据对几种不同算法的效果进行了评估和比较,证明改进的算法对图像质量有所增强,取得了良好的效果。

    标签: CCD 图像 插值 算法研究

    上传时间: 2013-06-11

    上传用户:it男一枚

  • 基于FPGA的BayerCCD相机彩色自动白平衡设计

    针对物体在不同色温光源照射下呈现偏色的现象,用FPGA实现对Bayer CCD数字相机的自动白平衡处理。根据CFA(Color Filter Array)的分布特点,利用双端口RAM(DPRAM),实现了颜色插值与色彩空间转换。在FPGA上设计了自动白平衡的三大电路模块:色温估计、增益计算和色温校正,并连接形成一个负反馈回路,然后结合EDA设计的特点,改进了增益计算的过程,有效地抑制了色彩振荡现象。

    标签: BayerCCD FPGA 相机 彩色

    上传时间: 2013-10-10

    上传用户:ouyangmark

  • 基于FPGA的BayerCCD相机彩色自动白平衡设计

    针对物体在不同色温光源照射下呈现偏色的现象,用FPGA实现对Bayer CCD数字相机的自动白平衡处理。根据CFA(Color Filter Array)的分布特点,利用双端口RAM(DPRAM),实现了颜色插值与色彩空间转换。在FPGA上设计了自动白平衡的三大电路模块:色温估计、增益计算和色温校正,并连接形成一个负反馈回路,然后结合EDA设计的特点,改进了增益计算的过程,有效地抑制了色彩振荡现象。

    标签: BayerCCD FPGA 相机 彩色

    上传时间: 2013-10-22

    上传用户:英雄