Byte2~Byte5 字节: 表示了仪表的测量值;高四位未使用,只使用了各字节的低四位。用BCD 码表示的数值,从高位到低 BYTE4 BYTE17 1 - 0 BATT MAX FULL A/C F/S START1 START2 UNIT_UP UNIT_DOWN 2 - 位依次为Byte5,Byte4,Byte3,Byte2。
上传时间: 2013-10-18
上传用户:thuyenvinh
针对UHF读写器设计中,在符合EPC Gen2标准的情况下,对标签返回的高速数据进行正确解码以达到正确读取标签的要求,提出了一种新的在ARM平台下采用边沿捕获统计定时器数判断数据的方法,并对FM0编码进行解码。与传统的使用定时器定时采样高低电平的FM0解码方法相比,该解码方法可以减少定时器定时误差累积的影响;可以将捕获定时器数中断与数据判断解码相对分隔开,使得中断对解码影响很小,实现捕获与解码的同步。通过实验表明,这种方法提高了解码的效率,在160 Kb/s的接收速度下,读取一张标签的时间约为30次/s。 Abstract: Aiming at the requirement of receiving correctly decoded data from the tag under high-speed communication which complied with EPC Gen2 standard in the design of UHF interrogator, the article introduced a new technology for FM0 decoding which counted the timer counter to judge data by using the edge interval of signal capture based on the ARM7 platform. Compared with the traditional FM0 decoding method which used the timer timed to sample the high and low level, the method could reduce the accumulation of timing error and could relatively separate capture timer interrupt and the data judgment for decoding, so that the disruption effect on the decoding was small and realizd synchronization of capture and decoding. Testing result shows that the method improves the efficiency of decoding, at 160 Kb/s receiving speed, the time of the interrogator to read a tag is about 30 times/s.
上传时间: 2013-11-10
上传用户:liufei
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity counter is Port ( clk : in std_logic; resetn : in std_logic; dout : out std_logic_vector(7 downto 0); lcd_en : out std_logic; lcd_rs : out std_logic; lcd_rw : out std_logic); end counter;
上传时间: 2013-10-30
上传用户:wqxstar
This example shows how to update at regulate period the WWDG counter using theEarly Wakeup interrupt (EWI). The WWDG timeout is set to 262ms, refresh window set to 41h and the EWI isenabled. When the WWDG counter reaches 40h the EWI is generated and in the WWDGISR the counter is refreshed to prevent a WWDG reset and led connected to PC.07is toggled.The EXTI line9 is connected to PB.09 pin and configured to generate an interrupton falling edge.In the NVIC, EXTI line9 to 5 interrupt vector is enabled with priority equal to 0and the WWDG interrupt vector is enabled with priority equal to 1 (EXTI IT > WWDG IT). The EXTI Line9 will be used to simulate a software failure: once the EXTI line9event occurs (by pressing Key push-button on EVAL board) the correspondent interruptis served, in the ISR the led connected to PC.07 is turned off and the EXTI line9pending bit is not cleared. So the CPU will execute indefinitely EXTI line9 ISR andthe WWDG ISR will never be entered(WWDG counter not updated). As result, when theWWDG counter falls to 3Fh the WWDG reset occurs.If the EXTI line9 event don抰 occurs the WWDG counter is indefinitely refreshed inthe WWDG ISR which prevent from WWDG reset. If the WWDG reset is generated, after resuming from reset a led connected to PC.06is turned on. In this example the system is clocked by the HSE(8MHz).
上传时间: 2013-11-11
上传用户:gundamwzc
按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值
上传时间: 2013-12-09
上传用户:来茴
最高优先级编码器 8位相等比较器 三人表决器(三种不同的描述方式) 加法器描述 8位总线收发器:74245 (注2) 地址译码(for m68008) 多路选择器(使用select语句) LED七段译码 多路选择器(使用if-else语句) 双2-4译码器:74139 多路选择器(使用when-else语句) 二进制到BCD码转换 多路选择器 (使用case语句) 二进制到格雷码转换 双向总线(注2) 汉明纠错吗译码器 三态总线(注2) 汉明纠错吗编码器 解复用器
上传时间: 2015-04-11
上传用户:tianyi223
ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bcd码转bin
上传时间: 2015-04-24
上传用户:磊子226
ALTERA NIOS处理器实验,QUARTUS下用VHDL编译成处理器,bin转bcd
上传时间: 2014-01-21
上传用户:z754970244
7400 2输入端四与非门 7401 集电极开路2输入端四与非门 7402 2输入端四或非门 7403 集电极开路2输入端四与非门 7404 六反相器 7405 集电极开路六反相器 7406 集电极开路六反相高压驱动器 7407 集电极开路六正相高压驱动器 7408 2输入端四与门 7409 集电极开路2输入端四与门 7410 3输入端3与非门 74107 带清除主从双J-K触发器 74109 带预置清除正触发双J-K触发器 7411 3输入端3与门 74112 带预置清除负触发双J-K触发器 7412 开路输出3输入端三与非门 74121 单稳态多谐振荡器 74122 可再触发单稳态多谐振荡器 74123 双可再触发单稳态多谐振荡器 74125 三态输出高有效四总线缓冲门 74126 三态输出低有效四总线缓冲门 7413 4输入端双与非施密特触发器 74132 2输入端四与非施密特触发器 74133 13输入端与非门 74136 四异或门 74138 3-8线译码器/复工器 74139 双2-4线译码器/复工器 7414 六反相施密特触发器 74145 BCD—十进制译码/驱动器 7415 开路输出3输入端三与门 74150 16选1数据选择/多路开关 74151 8选1数据选择器 74153 双4选1数据选择器 74154 4线—16线译码器
上传时间: 2014-01-10
上传用户:jackgao
说明: 此演示程序包含六个任务: softclock 软时钟任务 task1 向端口2的每个管脚输出方波的任务 task2 向端口3的每个管脚输出方波的任务 task3 向端口4的每个管脚输出方波的任务 task4 向端口5的每个管脚输出方波的任务 taskled 点亮P1.0上指示灯的任务 6个任务,加上M430/OS的空闲任务,共7个任务,系统开辟了6块堆栈,空闲任务使用main程序的堆栈,空闲任务不保存现场。每块堆栈的大小可以不同。 软时钟任务利用M430/OS的多任务延时模拟了一个有年、月、日、时、分、秒的时钟,并且可以日期 时间格式为BCD格式或10进制格式。 此程序可以直接在MSP430F149的仿真头上运行 程序空间、数据空间都由编译器自动分配。
标签: softclock task1 task2 task3
上传时间: 2013-12-21
上传用户:liglechongchong