虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

Adi-BF

  • 从感知机到深度神经网络带你入坑深度学习

    从感知机到深度神经网络带你入坑深度学习机器学习工程师Adi Chris最近学习完吴恩达在Coursera上的最新课程后,决定写篇博客来记录下自己对这一领域的理解。他建议通过这种方式可以有效地深入理解一个学习主题。除此之外,也希望这篇博客可以帮助到那些有意入坑的朋友。言归正传。在我正式介绍深度学习是什么东西之前,我想先引入一个简单的例子,借以帮助我们理解为什么需要深度神经网络。同时,本文附有使用深度神经网络模型求解异或(XOR)问题的代码,发布在GitHub上。异或问题何为异或问题?对于给定的两个上进制输入,我们通过异或逻辑门得到一个预测输出,这 过程 为异或问题。注意,输入不相等时输出为1,否则为0。1展示了异或函数的所有可能的输出结束:

    标签: 深度神经网络

    上传时间: 2022-06-19

    上传用户:canderile

  • 全套资料AD9910 DDS波形信号发生器电路板及上位机

    信号发生器。该波形发生器是基于ADI的AD9910 设计的,主控CPU采用ST的STM32F407VGT6,使用上位机配置AM、PM、FM、2FSK、2ASK、2PSK各种调制信号的输出。同时能够设置频率、幅度、相位的变化时间,调频信号的输出幅度,调幅信号的频率等各种配置。波形发生器是一种数据信号发生器,在调试硬件时,常常需要加入一些信号,以观察电路工作是否正常。即给集成运放引入正反馈,配合适当限幅措施,可以产生稳定周期性振荡。

    标签: dds 波形信号发生器 电路板 上位机

    上传时间: 2022-07-02

    上传用户:hxd

  • ADI ADMP441高性能低功耗数字MEMS麦克风解决方案

    ADIADMP441高性能低功耗数字MEMS麦克风解决方案             

    标签: mems 麦克风

    上传时间: 2022-07-05

    上传用户:jiabin

  • AD9361的软件无线电硬件平台设计与实现

    软件无线电是一种解决无线电通信领域内多体系并存、不同体系间无法制订统一标准等问题的方案。由于软件无线电是基于软件编程实现各种功能,其主要的特点表现在灵活性和开放性上。只要在其硬件系统能处理的信号频段,想要增加相对应频段中的通信功能只需通过软件就能实现。软件无线电的特点主要体现在软件可编程和可升级上,但是不管其实现功能多样性还是频段的扩展,都必须要求硬件系统具备相应的处理能力。软件无线电硬件平台目的是为了处理信号和实现不同通信功能,在软件无线电系统中不可或缺。文章首先从理论上研究了软件无线电技术,从技术原理角度分析了软件无线电硬件平台的结构体系,比较其优缺点,最终确立了以ADI公司的AD9361射频收发芯片为核心处理器件的软件无线电硬件平台的设计方案,然后将软件无线电硬件平台分为AD9361模块、信号接口模块、电源模块这三个主要部分。其中主要介绍了AD9361芯片、信号输入/输出接口、FMC连接器、电源供电电路、电源监测电路等多个方案。在保证信号完整性和电源完整性的前提下完成了PCB版图设计。最后配合ML605开发板,对该硬件平台的各项功能进行测试,最终连接天线能够将GSM广播信号正确接收。验证了该软件无线电硬件平台设计的正确性,同时也验证了该硬件平台的功能正常,性能良好。本文设计并实现了一种基于AD936]的软件无线电硬件平台,该平台工作频率为70MHz至6GHz,包含完整的发射和接收功能,具有多种工作模式,多种应用场景的特点。通过FMC连接器与Xilinx公司的Virtex-6FPGAML605开发板相连,实现射频应用开发,在宽带通信、测试等场合均能有良好的表现,对现阶段的软件无线电研究以及产品开发有着用药的价值和意义。

    标签: ad9361 软件无线电 硬件

    上传时间: 2022-07-11

    上传用户:hao123

  • VIP专区-嵌入式/单片机编程源码精选合集系列(12)

    VIP专区-嵌入式/单片机编程源码精选合集系列(12)资源包含以下内容:1. verilog 例子.2. mtd-snapshot-20041027.3. 非接触ic卡读写程序.4. USB接口的鼠标驱动源程序.5. P89CXX 编程器电脑发送程序*.6. 看门狗自动采集数据.7. ACM-12864汉字液晶显示驱动程序.8. 6221红外遥控解码程序.9. ZMODEM协议的实现.10. at91系列通过串口烧写源程序.11. 介绍了如何来构件嵌入式系统.12. 网卡驱动程序.13. 通过远程按电话键来控制被控处的继电器动作.14. SM2965 ISP 电路及源代码.15. LPC769 A_D例程1.16. 伟福环境下反汇编demo1.17. 在伟福环境下实现PLM51调用ASM51范例.18. 在伟福环境下实现PLM51调用PLM51范例.19. 在伟福环境下修改 Startup.a51 init.a51 以改变系统初始化过程.20. 一个8019网卡驱动程序.21. spi协议的驱动程序源代码.22. c的源码.23. 嵌入式WEB子程序.24. 24C64子程.25. 这是偶编写的伺服系统一个数字PID调节程序.26. 步进马达控制程序.27. 电动机控制程序.28. fft 变换C程序 不错的.29. 标准DES算法430源程序.30. 看门狗X25045控制子程序.31. 点阵液晶12*128驱动.32. 点阵液晶12232驱动.33. fat16 in compact flash.34. The project demonstrates many Windows common controls, including the extensive use of image lists. T.35. 嵌入式系统的论文集锦.36. 同步串口SPI接口转成异步RS-232.37. BF 533视频输出源代码.38. BF533 与音频解码器连接回放代码.39. lwipreadme.40. 嵌入式系统下语音压缩编码.

    标签: 机械设备 故障诊断

    上传时间: 2013-05-20

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(28)

    VIP专区-嵌入式/单片机编程源码精选合集系列(28)资源包含以下内容:1. Small RTOS(51)源代码、应用问答集 可用于嵌入式51系统。.2. 44B0中文资料.3. Blob在S3C44B0上的移植.4. LPC2106开发板(原理图).5. 中控嵌入式指纹识别开发描述.6. 利用Stateflow 进行嵌入式代码开发很好用.7. TI低功耗MCU用例子.8. 很好的ADS开始例子.9. SST 系列Nor flash 的读写源码.10. lzma的压缩算法再嵌入式系统上的实现.11. 基于atmel t89c51rd2的tcpip源代码.12. c51液晶程序,很好用的.13. 好书啊好书看看.14. 用的到的好芯片。看看吧.15. modbus 运用事例.16. USB 源码 ISP1581 Device controller.17. 国外经典嵌入式教程.18. 基于迁入系统的电子钟的c51固件.19. 本人编制且正在使用的c51键盘读取程序.20. 基于8515的lcd显示控制程序.21. 数控加工理论与编程技术.22. 三星脂肪分析仪原理.23. 装在一张软盘里的图形操作系统.24. 高效率的gb2312和ucs2编码互转工具.25. arm MP3 解压算法源代码.26. 基于89C52+T6963C的液晶驱动程序,可以显示16*16汉字32个,8*16点阵ASC,8*8点阵ASC,绘制直线等.27. MCS与51通讯的程序,PC侧采用VB6.0。.28. 82c79的显示转换代码.29. uboot是一款优秀的嵌入式系统的bootloader程序。本软件包是uboot在ADI公司的blackfin533处理器上的移植。.30. X5045读写一体化C51程序包.31. jffs文件格式说明.32. 这个也是我们的毕业设计课题.33. 模糊PID控制器.34. 波峰焊后台控制软件-中文版.35. 波峰焊后台控制软件-英文版.36. 通用的嵌入式设备下载软件.37. uCLinux在嵌入式系统中的应用,推荐.38. 高速电路设计必读.39. I2C总线的串行扩充技术.40. AT24Cxx I2C EEPROM 驱动程序.

    标签: 电镀技术

    上传时间: 2013-07-04

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(57)

    VIP专区-嵌入式/单片机编程源码精选合集系列(57)资源包含以下内容:1. 12864汉字液晶显示驱动程序.2. LCD液晶程序.3. Scan image DSP process Sca n image DSP process Sca n image DSP process Sca n image DSP process.4. PIC16F946 底层驱动.5. PIC16F946 底层驱动.6. cpld简单的教程.7. 针对嵌入式micro window系统.8. PPC下的文本编辑器源代码.9. PDIUSBD12USB固件编程与驱动开发周立功D12Win98驱动源码.10. C/C++嵌入式系统编程.11. 此文档为adi公司arm7tdmi内核的aduc70XX系列各模块的源代码.12. 这是用CH375芯片做的u盘转存的例子.13. 这是一个关于一个无线通讯芯片的无线的link的程序.14. msp430的iic通讯子程序.15. PADS2005中文教程.16. protel的常用器件库 protel的常用器件库 protel的常用器件库.17. cnstl970一款经典一款经典 cnstl970一款经典.18. 1602a经典资料 1602a经典资料.19. 一个计时程序.20. LED程序.21. windriver公司的vxworks系统是专业的嵌入式实时操作系统.22. 提供FAT16文件系统在嵌入式操作系统U_COS中的方案.23. 傅立叶变换程序 傅立叶变换程序.24. 请先删除编译后的debug/release.25. 液晶资料 液晶资料 液晶资料.26. 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料.27. 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料.28. 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料.29. PHILIPS LPC76X D/A 实例程序.30. 为SYT240160CZK液晶显示的驱动.31. 该原码主要介绍如何在DSP上实现视频输入与输出的代码,基于DSP6000系列的..32. LCD显示测试程序.33. 基于双NIOS II 的IP无线收发机 2006年嵌入式电子大赛获奖作品.34. 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA.35. Zigbee document about system structure.36. Samsung KS0719 graphical LCD (SPI) This driver allows to draw points and to write characters on thi.37. C51 API 例子源码.38. Api_for_usb_products 源码..39. avr mega8 pwm 试验带 Proteus 仿真.40. Alterla官方版ep1c20 FPGA NOISII开发板原理图.

    标签: 机械加工 工艺

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(69)

    VIP专区-嵌入式/单片机编程源码精选合集系列(69)资源包含以下内容:1. vxworks下ospf协议栈.2. vxworks下的bridge协议栈.3. vxworks下radius协议栈 的源代码.4. 一份很好的ARM开发原理图.5. STR710串口测试程序.6. STR710的ADS下的测试程序.7. eboot源码.8. 用REDBOOT引导WINCE的说明.9. use of NIOS PIO to simulate I2C bus,to initial TVP5150.10. 数字钟原理图 数字钟原理图 数字钟原理图 数字钟原理图.11. 数字万用表芯片MAX134的驱动,包括一些资料,知识源于网络.12. 这是一称的项目原文件,包括T9汉字输入,打印机,24C512,已经引用到产品上.13. msp430F435做的医疗器械,包括语音模块,知识源于网络.14. 针对ARM优化过的FFT算法。其中20点.15. 采用stc12c5404ad的51系列内核无刷控制器程序.16. 很好的CPLD方面的书籍.17. 430中精确延时方法.18. 俄国佬的基于LM1875 20W吉他音箱的原理图和PCB.19. 语音控制小车电路设计原理图.20. 此为本人今期一个项目的部分C51源程序.21. 这是一个MINITGUI2。0的应用程序.22. 本程序提供了经典PID算法的优化算法.23. ucosII在arm920T内核s3c2410移植的代码..24. s3c2410的Nandflash的bootloader,参考wince的boot.25. s3c2410上移植ucos,并在ucos下实现iis音频功能.26. 立宇泰44B0所有测试源代码.27. BANYANII,JTAG SERVER,可以用WIGGLER调试ARM程序的软件.28. 4510U_BOOT源代码.29. 三星原厂的CE5.0bsp,包括eboot.30. 这是一个用VHDL +图形法在CPLD内部搭建的液晶显示的驱动程序。液晶是ocmj5*10系列.31. 研勤公司2440开发板的测试程序.32. 本文为采用VHDL编写的程序及报告。步骤如下:1设计三位二进制计数器程序 二:设计一驱动循环显示7位数字 2编写LED控制程序如下: 3设计采用原理图方式如下:.33. 成都理工大学基于MAXPLUS II 的设计过程报告内涵有源程序及设计过程中的调试:在文本编辑窗口中输入二进制8位优先编码器的程序; 3设计驱动显示程序如下: 5采用原理图方式设计如下: 6引角.34. Avalon_TFT_LCD是Nios系统液晶显示控制方案,供大家参考..35. 公园导游图 数据结构课程设计作业 需要的人下 功能:给出一张某公园的导游图.36. keil for arm 下的嵌入式ucos操作系统下的串口通讯例程.37. fpga/CPLD开发管理Digit-Serial DSP Functions.38. S3C2410 原理图.39. ADI BLACKFIN BF533 的IIC驱动程序.40. I2C to serial communication code.

    标签: 机械 工程师手册

    上传时间: 2013-07-03

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(77)

    VIP专区-嵌入式/单片机编程源码精选合集系列(77)资源包含以下内容:1. 基于arm3000列表框控件的设置基于arm3000列表框控件的实现.2. c51 book very good for 51 study.3. 立宇泰的2410实验板的测试代码,包括各个硬件部分的使用.4. 这是一个基于3208 LED电子钟 V3.0的全部资料.5. 这是一个基于FPGA的CF卡读写程序.6. Qh2001液晶驱动接口函数,适合于大多数液晶显示应用领域.7. 240x128液晶T6963控制器驱动程序(C51).8. 字模Ⅲ 测试版是在字模V2.1的基础上重新开发的全新版本.9. 利用LPC2368实现的SD卡文件系统源代码.10. 嵌入式ARM芯片LPC23XX上实现固件在线更新用的XModem协议C语言实现.11. ModbusRTU协议采用的CRC校验生成及校验验证函数C语言实现.12. uMemory 内存管理模块.13. 微内核软实时操作系统.14. Real-time microkernel 小巧灵活的实时微内核.15. SImple Microkernel Distributed Operating System.16. C语言编写的T6963驱动.17. 触摸屏实例程序.18. LCD程序.19. C++中的一个简单的二叉树的后续递归算法例子.20. 数码管、键盘驱动芯片SD7218的原理图。.21. 摩托罗拉飞思卡尔实验指导书,68HC908GP32系列.22. 这是我自己在做实验设计时做的,用摩托罗拉飞思卡尔做的一个多功能电子钟.23. ARM7 flash编程参考(基于lpc2200).24. 此程序为DP256的ETC实验程序,已经通过实验证明.25. 此程序为DP256的PWM实验程序,已经通过实验证明.26. 此程序为DP256的SPI实验程序,已经通过实验证明.27. 此程序为DP256的开关采集实验程序,已经通过实验证明.28. VC源码,开源测试工具.有助于VC++的学习与开发.29. ADI的DSP开发板原理图.30. 最新的x25045驱动(C51)双通道读写驱动程序.31. i2c总线AT93C46的 c语言读写源程序。.32. DSP仿真器原理图 如果不想花高价钱买仿真器的话可以自己DIY 我现在也在学习.33. 一个将dsp2407应用于控制的主板原理图.34. 一个基于FPGA的SD卡读写程序.35. ARM +D12演示程序源码.36. 在Nios里实现DMA的范例程序.37. flash芯片的驱动.38. 基于凌阳单片机的语音小车。可用于特定人的语音识别系统.39. 本程序是基于DSP6713的IIC通讯程序.40. CPLD在交流电机控制系统中的测速应用.

    标签: 机械原理 机构学

    上传时间: 2013-08-02

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(83)

    VIP专区-嵌入式/单片机编程源码精选合集系列(83)资源包含以下内容:1. TI MSP430 I2C模块实现 日历时钟系统设计方案的源码 全部代码.2. 基于TI MSP430 的SmartMedia卡的本地存储系统源码.3. Altera CycloneIII_Starter_Kit 开发板原理图.4. 嵌入式程序.5. 飞利普ARM2132电路原理图及PCB图,protel99格式。.6. 在Quartus下使用D触发器来加入延迟.7. USB转并口 含有PCB和原理图 速度已经有所改善.8. Jennic ZigBee中文开发指南.9. cs8900网卡在嵌入式系统中的驱动,网上很少有此网卡驱动的源代码,并且cs8900的datasheet写的非常乱,这个网卡驱动是我用了快2个星期弄出来的,分享给大家,希望大家少走弯路..10. 本人购买的嵌入式系统开发板里面带的光盘资料,非常有用的实时操作系统,源代码开发..11. 嵌入式系统开发.在S3C44B0X处理器下的一个相当于pc电脑的BIOS,主要实现系统启动以及初始化功能.非常底层的代码..12. 杭州立宇泰的s3c2410开发板的USB启动代码,里有usb驱动.可降低usb开发的难度..13. 串口阿啊啊 啊啊几个拉开觉得福阿德司法阿斯顿金卡速度fiao].14. TI公司的AD8361的VHDL控制程序.15. ST71x以太网测试程序.开发环境:ads. 连好网线,在windows下.16. 液晶FM12864-1驱动程序.17. Maxim实时时钟芯片DS1302驱动程序.18. ADI芯片AD7705驱动程序.19. GM8125芯片的驱动程序.20. 新型网络芯片enc28j60驱动程序.21. 北京合众达电子技术有限责任公司用于DSP图像处理程序设计文献.22. 基于fpga和sopc的用VHDL语言编写的EDA含异步清0和同步时钟使能的加法计数器.23. 基于fpga和sopc的用VHDL语言编写的EDA7段数码显示译码器.24. 基于fpga和sopc的用VHDL语言编写的EDA8段数码显示译码器.25. 基于fpga和sopc的用VHDL语言编写的EDA数控分频器.26. 基于fpga和sopc的用VHDL语言编写的EDA正弦信号发生器.27. 基于fpga和sopc的用VHDL语言编写的EDA8位16进制频率计.28. 基于fpga和sopc的用VHDL语言编写的EDA序列检测器.29. 基于fpga和sopc的用VHDL语言编写的EDA的ADC0809采样控制电路.30. 基于fpga和sopc的用VHDL语言编写的EDA数据采集电路和简易存储示波器.31. 基于fpga和sopc的用VHDL语言编写的EDA比较器和D/A器件实现.32. 基于fpga和sopc的用VHDL语言编写的EDA移位相加硬件乘法器.33. 基于fpga和sopc的用VHDL语言编写的EDA乐曲硬件演奏电路.34. 基于fpga和sopc的用VHDL语言编写的EDA乒乓球游戏电路.35. 基于fpga和sopc的用VHDL语言编写的EDA等精度频率设计.36. 基于fpga和sopc的用VHDL语言编写的EDA采样高速A/D的存储示波器.37. 基于fpga和sopc的用VHDL语言编写的EDA信号采集与频谱分析电路.38. 基于fpga和sopc的用VHDL语言编写的EDA的DDS信号发生器.39. 基于fpga和sopc的用VHDL语言编写的EDA数字移相信号发生器.40. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标键盘控制模块.

    标签:

    上传时间: 2013-04-15

    上传用户:eeworm