虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

AV接口EMC

  • 基于FPGA的PROFIBUSDP从站接口研究

    PROFIBUS现场总线技术是当今控制领域的一个热点。目前国内对于PROFIBUS-DP的应用和研究主要以西门子等国外大公司的成套设备为主,用单片机+固态程序的方法做PROFIBUS-DP接口控制器的技术比较成熟,而自主开发PROFIBUS-DP通用接口的研究却比较少。针对这一现状,本论文采用FPGA做控制器,提出了基于FPGA技术的从站接口通信模块的设计方案,使具有RS-232接口的从站可以通过该接口通信模块与PROFIBUS-DP主站进行通讯连接。 论文首先对PROFIBUS现场总线技术进行概述,主要从现场总线的技术特点、协议结构、传输技术、存取协议等方面进行介绍。对PROFIBUS-DP系统组成和配置、工作方式及数据传递、DP的功能和从站状态机制等进行研究和分析。然后详细论述了基于PROFIBUS-DP的通信接口的硬件及软件实现。 在硬件设计中,本文从PROFIBUS协议芯片SPC3实现的具体功能出发,结合EDA(Electronic Design Amomation)设计自项向下的设计思想,给出了总线接口的总体设计方案。同时给出其设计逻辑框图、算法流程图、引脚说明以及部分模块的仿真结果。并充分考虑了硬件的通用性及将来的扩展。 本设计使用VHDL描述,在此基础之上采用专门的综合软件对设计进行了综合优化,最后在FPGA(Field Programmable Gate Array)芯片EP1C6上得以实现。在软件设计中,详细介绍了通信接口的软件设计实现,包括状态机的实现、各种通信报文的实现、GSD文件的编写等。 再通过Siemens公司的CP5611网络接口卡和PC机做主站,使用COMPROFIBUS组态软件,组建系统进行通讯测试,得到良好结果。

    标签: PROFIBUSDP FPGA 接口

    上传时间: 2013-05-25

    上传用户:xwd2010

  • TS流复用器及其接口

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: TS流 复用器 接口

    上传时间: 2013-06-10

    上传用户:01010101

  • 基于FPGA的USB接口数据采集系统研究

    随着科学技术水平的不断提高,在科研和生产过程中为了更加真实的反映被测对象的性质,对测试系统的性能要求越来越高。传统的测试装置,由于传输速度低或安装不便等问题已不能满足科研和生产的实际需要。USB技术的出现很好的解决了上述问题。USB总线具有支持即插即用、易于扩展、传输速率高(USB2.0协议下为480Mbps)等优点,已逐渐得到广泛的应用。 本课题研究并设计了一套基于USB2.0的数据采集系统。论文首先详细介绍了USB总线协议,然后从系统的总体结构、硬件电路、软件程序以及系统性能检测等几个方面,详细阐述了系统的设计思想和实现方案。系统采用双12位A/D转换器,提供两条模拟信号通道,可以同时采集双路信号,最高的采样率为200KHz。USB接口芯片采用Cypress公司的CY7C68013。论文详细介绍了其在SlaveFIFO接口模式下的电路设计和程序设计。系统应用FPGA芯片作系统的核心控制,控制系统的数据采集和与USB接口芯片的数据交换,并产生其中的逻辑控制信号和时序信号。同时应用FPGA芯片作系统的核心控制可提高了系统稳定性、减小设备的体积。系统的软件设计,主要包括FPGA芯片中的逻辑、时序控制程序、8051固件程序、客户应用程序及其驱动程序。客户端选择了微软的Visual Studio6.0 C++作开发平台,虽然增加了复杂程度,但是软件执行效率及重用性均得到提高。 最后,应用基于USB2.0的数据采集系统测试标准信号及电木的导热系数,以验证测试系统的可靠信与准确性。

    标签: FPGA USB 接口 数据采集

    上传时间: 2013-04-24

    上传用户:凤临西北

  • 1553B总线接口技术研究及FPGA实现

    本论文在详细研究MIL-STD-1553B数据总线协议以及参考国外芯片设计的基础上,结合目前新兴的EDA技术和大规模可编程技术,提出了一种全新的基于FPGA的1553B总线接口芯片的设计方法。 从专用芯片实现的具体功能出发,结合自顶向下的设计思想,给出了总线接口的总体设计方案,考虑到电路的具体实现对结构进行模块细化。在介绍模拟收发器模块的电路设计后,重点介绍了基于FPGA的BC、RT、MT三种类型终端设计,最终通过工作方式选择信号以及其他控制信号将此三种终端结合起来以达到通用接口的功能。同时给出其设计逻辑框图、算法流程图、引脚说明以及部分模块的仿真结果。为了资源的合理利用,对其中相当部分模块进行复用。在设计过程中采用自顶向下、码型转换中的全数字锁相环、通用异步收发器UART等关键技术。本设计使用VHDL描述,在此基础之上采用专门的综合软件对设计进行了综合优化,在FPGA芯片EP1K100上得以实现。通过验证证明该设计能够完成BC/RT/MT三种模式的工作,能处理多种消息格式的传输,并具有较强的检错能力。 最后设计了总线接口芯片测试系统,选择TMS320LF2407作为主处理器,测试主要包括主处理器的自发自收验证,加入RS232串口调试过程提高测试数据的直观性。验证的结果表明本文提出的设计方案是合理的。

    标签: 1553B FPGA 总线接口 技术研究

    上传时间: 2013-04-24

    上传用户:sz_hjbf

  • PCB Design for EMC Compliance

    PCB电路设计中EMC兼容的讨论 国外原版书籍 影印版

    标签: Compliance Design PCB EMC

    上传时间: 2013-05-17

    上传用户:Zxcvbnm

  • 银江接口的源代码

    银江接口的源代码,利用VC编写,可以用于股票数据的实时获取

    标签: 接口 源代码

    上传时间: 2013-07-12

    上传用户:jlyaccounts

  • FPGA扩展接口设计和摄像头驱动程序

    当前正处于第三代移动通信技术发展的关键时期,各种与3G相关的无线网络终端的需求量与日俱增。为3G无线网络终端选择一个高性能的处理器,并且提供一套完整的系统解决方案,满足3G时代人们对数据通信业务的需求,无疑是一个有意义且亟待解决的重要问题。 OMAP(Open Multimedia Applications Platform)是美国德州公司(TI)推出的专门为支持第三代(3G)无线终端应用而设计的应用处理器体系结构。OMAP处理器平台堪称无线技术发展的里程碑,它提供了语音、数据和多媒体所需的带宽和功能,可以极低的功耗为高端3G无线设备提供极佳的性能。 本文的研究内容是开发基于OMAP5910处理器的具有多个扩展接口的嵌入式开发平台,以及摄像头显示驱动程序,以便能为3G相关的无线网络终端提供一个系统级的解决方案,本文首先介绍了OMAP技术的特点和优点,并对OMAP5910处理器的硬件结构进行了简单说明,在此基础上提出了基于OMAP5910嵌入式平台的FPGA设计,包括用FPGA扩展的接口:触摸屏接口,硬盘接口,以太网接口;控制的接口:USB口,串口;以及实现的功能:与OMAP5910处理器的通信功能,中断控制功能,选择启动顺序功能,复位延时功能。然后介绍了基于OMAP5910的摄像显示系统的硬件设计,主要包括摄像头接口和摄像头模块,EMIFS和EMIFF接口以及LCD接口。最后描述了嵌入式Linux操作系统下摄像头驱动程序的完整实现过程。

    标签: FPGA 扩展 接口设计 摄像头

    上传时间: 2013-05-24

    上传用户:mfhe2005

  • DDR2SDRAM存储器接口设计

    内部存储器负责计算机系统内部数据的中转、存储与读取,作为计算机系统中必不可少的三大件之一,它对计算机系统性能至关重要。内存可以说是CPU处理数据的“大仓库”,所有经过CPU处理的指令和数据都要经过内存传递到电脑其他配件上,因此内存性能的好坏,直接影响到系统的稳定性和运行性能。在当今的电子系统设计中,内存被使用得越来越多,并且对内存的要求越来越高。既要求内存读写速度尽可能的快、容量尽可能的大,同时由于竞争的加剧以及利润率的下降,人们希望在保持、甚至提高系统性能的同时也能降低内存产品的成本。面对这种趋势,设计和实现大容量高速读写的内存显得尤为重要。因此,近年来内存产品正经历着从小容量到大容量、从低速到高速的不断变化,从技术上也就有了从DRAM到SDRAM,再到DDR SDRAM及DDR2 SDRAM等的不断演进。和普通SDRAM的接口设计相比,DDR2 SDRAM存储器在获得大容量和高速率的同时,对存储器的接口设计也提出了更高的要求,其接口设计复杂度也大幅增加。一方面,由于I/O块中的资源是有限的,数据多路分解和时钟转换逻辑必须在FPGA核心逻辑中实现,设计者可能不得不对接口逻辑进行手工布线以确保临界时序。而另一方面,不得不处理好与DDR2接口有关的时序问题(包括温度和电压补偿)。要正确的实现DDR2接口需要非常细致的工作,并在提供设计灵活性的同时确保系统性能和可靠性。 本文对通过Xilinx的Spartan3 FPGA实现DDR2内存接口的设计与实现进行了详细阐述。通过Xilinx FPGA提供了I/O模块和逻辑资源,从而使接口设计变得更简单、更可靠。本设计中对I/O模块及其他逻辑在RTL代码中进行了配置、严整、执行,并正确连接到FPGA上,经过仔细仿真,然后在硬件中验证,以确保存储器接口系统的可靠性。

    标签: DDR2SDRAM 存储器 接口设计

    上传时间: 2013-06-08

    上传用户:fairy0212

  • 开关电源EMC设计

    本文就开关电源EMC设计进行了简明扼要的分析和说明,文档类型为论文。

    标签: EMC 开关电源

    上传时间: 2013-04-24

    上传用户:wyc199288

  • 基于FPGA的USB接口协议及驱动技术研究

    基于FPGA的USB接口协议及驱动技术研究基于FPGA的USB接口协议及驱动技术研究

    标签: FPGA USB 接口协议 技术研究

    上传时间: 2013-06-04

    上传用户:564708051@qq.com