虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

ALTERA-FPGA-CPLD

  • 基于FPGA的计算机可编程外围接口芯片的设计与实现

    随着电子技术和EDA技术的发展,大规模可编程逻辑器件PLD(Programmable Logic Device)、现场可编程门阵列FPGA(Field Programmable Gates Array)完全可以取代大规模集成电路芯片,实现计算机可编程接口芯片的功能,并可将若干接口电路的功能集成到一片PLD或FPGA中.基于大规模PLD或FPGA的计算机接口电路不仅具有集成度高、体积小和功耗低等优点,而且还具有独特的用户可编程能力,从而实现计算机系统的功能重构.该课题以Altera公司FPGA(FLEX10K)系列产品为载体,在MAX+PLUSⅡ开发环境下采用VHDL语言,设计并实现了计算机可编程并行接芯片8255的功能.设计采用VHDL的结构描述风格,依据芯片功能将系统划分为内核和外围逻辑两大模块,其中内核模块又分为RORT A、RORT B、OROT C和Control模块,每个底层模块采用RTL(Registers Transfer Language)级描述,整体生成采用MAX+PLUSⅡ的图形输入法.通过波形仿真、下载芯片的测试,完成了计算机可编程并行接芯片8255的功能.

    标签: FPGA 计算机 可编程 外围接口

    上传时间: 2013-06-08

    上传用户:asddsd

  • 基于FPGA的精简指令集计算机的研究与开发

    大规模可编程逻辑器件CPLD和FPGA是当今应用最广泛的两类可编程专用集成电路(ASIC),电子设计工程师用它可以在办公室或实验室里设计出所需的专用集成电路,从而大大缩短了产品上市时间,降低了开发成本.此外,可编程逻辑器件还具有静态可重复编程和动态系统重构的特性,使得硬件的功能可以象软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性.该设计完成了在一片可编程逻辑器件上开发简易计算机的设计任务,将单片机与单片机外围电路集成化,能够输入指令、执行指令、输出结果,具有在电子系统中应用的普遍意义,另外,也可以用于计算机组成原理的教学试验.该文第一章简要介绍了可编程ASIC和EDA技术的历史、现状、未来并对本课题作了简要陈述.第二章在芯片设计的两种输入法即原理图输入法和HDL输入法之间做出比较,决定选用HDL输入法.第三章描述了具体的设计过程和设计手段,首先将简易计算机划分为运算器、CPU控制器、存储器、键盘接口和显示接口以及系统控制器,然后再往下分为下层子模块.输入法的语言使用的是Verilog HDL,鉴于篇幅所限,源代码部分不在论文之中.第四章对设计的综合与实现做了总结,给出了时序仿真波形图.该文针对FPGA和RISC这两大课题,对RISC在FPGA上的实现进行了初浅的探索与尝试.从计算机体系结构入手,剖析了精简指令集计算机的原理,通过该设计的实践对ASIC和EDA的设计潜力有了更进一步的领悟.

    标签: FPGA 指令集 计算机

    上传时间: 2013-05-21

    上传用户:hewenzhi

  • 基于FPGA的可编程控制器现场集成技术应用研究

    传统PLC使用时会出现一些问题,如程序死循环、程序跑飞、需要庞大的编译系统作支持和不能实现精确位置控制等等;而发展到OPENPLC后,这些问题依然存在。为了更好地解决这些问题,本文提出一种全新的可编程控制器现场集成技术,用FPGA来实现PLC的功能,抛弃传统PLC“程序”的概念,以“硬件线路”来实现控制功能,不论在经济上还是在性能上都具有更大的优势。 本课题在对国内外可编程控制器,重点是HardPLC的开发和应用的进展进行概述和分析的基础上,系统开展了HardPLC组成模块原理及其仿真模拟的研究。本研究的主要贡献为: 1.对比分析了CPLD和FPGA的性能特点,阐明了Xilinx公司FPGA芯片结构的两个创新概念,指出了其优越性能的结构基础; 2.系统分析了用HardPLC实现控制系统时的一些通用模块,对每个模块的工作原理进行了深入的探讨,用VHDL语言建立了每个模块的模型,在此基础上进行了仿真、综合,为进一步研究可编程控制器的现场集成奠定了基础; 3.在仿真综合的基础上,用所建立的模型完成了特定逻辑控制系统的控制要求,充分展示了其实际应用的可行性; 4.在分析Xilinx公司SPARTANII系列FPGA芯片配置模式的基础上,确定了应用于实际的基于CPLD控制的FPGA芯片SlaveParallel配置模式。 本课题研究建立的模型对于开发具有我国自主知识产权的HardPLC组成IP库具有一定的理论意义;对特定系统的控制实现,充分展示了基于FPGA的可编程控制器现场集成技术可以广泛应用于工控领域,加大推广力度和建立更多的IP库,在许多应用场合可以取代传统的PLC控制系统,为工控领域提供高可靠、低价格、简单易操作的解决方案,这将带来巨大的社会经济效益;所确定的FPGA芯片配置模式可广泛应用于对FPGA芯片配置数据的加载,在实践生产中具有重要的实用价值。

    标签: FPGA 可编程控制器 集成技术 应用研究

    上传时间: 2013-05-30

    上传用户:dtvboyy

  • 基于FPGA的数字相位计的研究与实现

    本文结合工程需要详细论述了一种数字相位计的实现方法,该方法是基于FPGA(现场可编程门阵列)芯片运用FFT(快速傅立叶变换)算法完成的。首先,从相位测量的原理出发,分析了传统相位计的缺点,给出了一种高可靠性的相位检测实用算法,其算法核心是对采集信号进行FFT变换,通过频谱分析,实现对参考信号和测量信号初相位的检测,并同时阐述了FPGA在实现数字相位计核心FFT算法中的优势。在优化的硬件结构中,利用多个乘法器并行运算的方式加快了蝶形运算单元的运算速度;内置双端口RAM、旋转因子ROM使数据存储的速度得到提高;采用了流水线的工作方式使数据的存储、运算在时间上达到匹配。整个设计采用VHDL(超高速硬件描述语言)语言作为系统内部硬件结构的描述手段,在Altera的QuartusⅡ软件支持下完成。仿真结果表明,基于FPGA实现的FFT算法无论在速度和精度上都满足了相位测量的需要,其运算64点数据仅需27.5us,最大误差在1%之内。

    标签: FPGA 数字 相位计

    上传时间: 2013-05-16

    上传用户:lgs12321

  • 基于FPGA的水下远程遥控解码电路的设计与研究

    随着计算机和集成电路技术的不断发展,基于EDA技术的芯片设计正在成为电子系统设计的主流.现场可编程门阵列(FPGA)作为一种可编程专用集成电路(ASIC)已经广泛应用于计算机、通信、航空航天等各个领域.一般来讲,FPGA多用于高速通信和高速信号处理领域,以发挥其处理速度快的特点,本文将其应用于一低速低功耗系统——某水下远程遥控接收系统,主要用其在频域来实现水下远程遥控的解码,取得了令人满意的效果.该文主要做了以下几方面的工作.首先,深入研究和分析了在频域实现水下远程遥控解码的原理并进行了遥控指令编码设计;其次,用ALTERA公司的CYCLONE系列FPGA芯片完成了水下远程遥控FPGA解码芯片的设计工作,包括硬件描述语言(VHDL)编码、电路前后仿真、综合和布局布线工作,并对设计的FPGA解码芯片进行了初步的功耗估算:最后设计制作了一块FPGA解码芯片电路验证测试板,并完成了电路调试和测试.实验测试结果表明,用FPGA实现水下远程遥控解码电路的方案是可行的,可以有效地缩小系统体积、提高系统可靠性,在保证系统性能情况下做到更低的功耗,还可以实现在系统配置和编程,使得系统的调试、升级和维护更加灵活方便.

    标签: FPGA 远程遥控 解码电路

    上传时间: 2013-06-03

    上传用户:zoushuiqi

  • 高速FPGA在激光回波检测中的应用

    激光测距是激光技术在军事上最早和最成熟的应用,自1961.年美国休斯飞机公司研制成功世界上第一台激光测距机之后,激光测距技术发展迅速。如今,它已经被广泛运用于军用领域和民用领域。为了进一步提高我国激光测距水平,研制更高性能激光测距机依然是我国国防科技研究中的重要课题之一。其中,测距精度是激光测距机的一个重要参数。而激光测距机能否准确的检测激光回波信号将直接影响测距精度。 脉冲激光测距系统主要包括激光发射子系统、激光回波探测子系统、回波检测与主控子系统、终端显示子系统等组成。其中设计高精度激光回波检测与主控子系统是实现高精度激光测距的核心问题。传统激光回波检测与主控子系统通常采用分立元件和小规模集成电路设计,电路复杂且精度较低。随着数字电路设计技术的发展,已出现大规模可编程逻辑器件FPGA(现场可编程门阵列)和CPLD(复杂可编程逻辑器件)。采用FPGA代替传统的分立元件和小规模集成电路来设计激光回波检测与主控子系统,不仅提高了回波检测精度,同时简化了整个测距系统的设计。 本文研究了将激光回波信号直接送入FPGA进行检测的方案。同时,采用这种方案设计了一种激光回波检测系统,并把它成功运用在一引信项目中。这种方案电路设计简单,易于实现。在实际应用中,由于激光回波探测子系统只是完成由光信号到电信号的转换及简单放大,理论分析和试验结果均表明,采用该方案进行回波检测的精度较低,这种回波检测方法也只能应用在测距精度要求低的项目中。 为了满足另一高精度测距项目的需要,在FPGA直接进行激光回波检测方案的基础上,设计了一种高精度激光回波检测系统。文中介绍了其实现原理,理论上分析了该系统所能达到的回波检测精度及整机测距系统的测距精度。与第一种方案相比,该方案引入了超高速数据采集电路。由于采样速率高达lGsps,该方案实现的难点在于如何保证数据采集电路的稳定工作。文中从总体方案的设计,到器件的选型,硬件电路板的实现等方面做了详细的阐述,最终完成了系统硬件电路设计。接着介绍了系统程序设计。后面给出了试验测试结果,该系统工作稳定,性能良好。系统设计中引入的超高速数据采集电路有着广泛的应用,为其他相关设计提供了参考。最后,对全文做了工作总结,并给出了接下来的后续工作与展望。 本文在高速FPGA对激光回波信号检测方向取得了一定的成果,为进一步研究提供了参考价值。

    标签: FPGA 激光 回波 中的应用

    上传时间: 2013-06-13

    上传用户:cy1109

  • 高速并行信号处理板数据接口与控制的FPGA设计

    随着信息社会的发展,人们要处理的各种信息总量变得越来越大,尤其在处理大数据量与实时处理数据方面,对处理设备的要求是非常高的。为满足这些要求,实时快速的各种CPU、处理板应运而生。这类CPU与板卡处理数据速度快,效率高,并且不断的完善与发展。此类板卡要求与外部设备通讯,同时也要进行内部的数据交换,于是板卡的接口设备调试与内部数据交换也成为必须要完成的工作。本文所作的工作正是基于一种高速通用信号处理板的外部接口和内部数据通道的设计。 本文首先介绍了通用信号处理板的应用开发背景,包括此类板卡使用的处理芯片、板上设备、发展概况以及和外部相连的各种总线概况,同时说明了本人所作的主要工作。 其次,介绍了PCI接口的有关规范,给出了通用信号处理板与CPCI的J1口的设计时序;介绍了DDR存储器的概况、电平标准以及功能寄存器,并给出了与DDR.存储器接口的设计时序;介绍了片上主要数据处理器件TS-202的有关概况,设计了板卡与DSP的接口时序。 再次,介绍了Altera公司FPGA的程序设计流程,并使用VHDL语言编程完成各个模块之间的数据传递,并重点介绍了DDR控制核的编写。 再次,介绍了WDM驱动程序的结构,程序设计方法等。 最后,通过从工控机向通用信号处理板写连续递增的数据验证了整个系统已经正常工作。实现了信号处理板内部数据通道设计以及与外部接口的通讯;并且还提到了对此设计以后地完善与发展。 本文所作的工作如下: 1、设计完成了处理板各接口时序,使处理板可以从接口接受/发送数据。 2、完成了FPGA内部的数据通道的设计,使数据可以从CPCI准确的传送到DSP进行处理,并编写了DSP的测试程序。 3、完成了DDR SDRAM控制核的VHDL程序编写。 4、完成了PCI驱动程序的编写。

    标签: FPGA 高速并行 信号处理板 数据接口

    上传时间: 2013-06-30

    上传用户:唐僧他不信佛

  • 基于FPGA和PCI总线的WCDMA信号采集卡的研制

      本论文利用FPGA可编程逻辑器件和硬件描述语言Verilog,采用自顶向下的设计方法,开发了一款基于PCI总线的高速数据采集卡。本数据采集系统中,采用PLX公司生产的PLX9080作为PCI总线接口芯片。用4片每片容量为8MB的SDRAM作为数据采集的前端和PCI总线的数据缓冲。用ALTERA公司生产的Cyclone系列FPGA实现PCI接口芯片PLX9080的时序逻辑、对数据采集通道的前端控制以及对SDRAM的读写控制。  在本论文将重点放在了用硬件描述语言Verilog进行FPGA硬件逻辑编程上。本论文按照自顶向下的设计方法,详细论述了PCI接口转化电路模块、SDRAM存储片子读写控制电路模块、FPGA内部寄存器读写控制电路模块以及用于RF端的自动增益控制电路AGC模块的设计。  

    标签: WCDMA FPGA PCI 总线

    上传时间: 2013-04-24

    上传用户:yhm_all

  • (2,1,9)软判决Viterbi译码器的设计与FPGA实现

    卷积码是无线通信系统中广泛使用的一种信道编码方式。Viterbi译码算法是一种卷积码的最大似然译码算法,它具有译码效率高、速度快等特点,被认为是卷积码的最佳译码算法。本文的主要内容是在FPGA上实现约束长度为9,码率为1/2,采用软判决方式的Viterbi译码器。 本文首先介绍了卷积码的基本概念,阐述了Viterbi算法的原理,重点讨论了决定Viterbi算法复杂度和译码性能的关键因素,在此基础上设计了采用“串-并”结合运算方式的Viterbi译码器,并在Altera EP1C20 FPGA芯片上测试通过。本文的主要工作如下: 1.对输入数据采用了二比特四电平量化的软判决方式,对欧氏距离的计算方法进行了简化,以便于用硬件电路方式实现。 2.对ACS运算单元采用了“串-并”结合的运算方式,和全并行的设计相比,在满足译码速度的同时,节约了芯片资源。本文中提出了一种路径度量值存储器的组织方式,简化了控制模块的逻辑电路,优化了系统的时序。 3.在幸存路径的选择输出上采用了回溯译码方法,与传统的寄存器交换法相比,减少了寄存器的使用,大大降低了功耗和设计的复杂度。 4.本文中设计了一个仿真平台,采用Modelsim仿真器对设计进行了功能仿真,结果完全正确。同时提出了一种在被测设计内部插入监视器的调试方法,巧妙地利用了Matlab算法仿真程序的输出结果,提高了追踪错误的效率。 5.该设计在Altera EP1C20 FPGA芯片上通过测试,最大运行时钟频率110MHz,最大译码输出速率10.3Mbps。 本文对译码器的综合结果和Altera设计的Viterbi译码器IP核进行了性能比较,比较结果证明本文中设计的Viterbi译码器具有很高的工程实用价值。

    标签: Viterbi FPGA 软判决 译码器

    上传时间: 2013-07-23

    上传用户:叶山豪

  • 数字图像监控系统解码芯片的设计及其FPGA实现

    该文就多媒体信息的主体之一-图像信号的压缩和解压进行了分析,并结合实际课题所设计的数字图像监控系统对其中的图像解码过程进行了软硬件的实现.首先我们在ANALOG DEVICE公司的ADSP-2189上进行了解码系统的验证,就解码输出的质量进行了主观评价.通过软件仿真,我们还进一步得到了解码过程中,哪些指令占用较多的指令执行时间,哪些指令会成为硬件实现时的瓶颈.它为我们的FPGA优化设计提供了理论上的依据.综合考虑设计方案的复杂程度、系统规模、系统时延、器件成本等各项因素,通过对各种FPGA器件性能与开发工具的选择比较,决定选用Altera公司的FLEX10K器件来做最终的硬件实现.它不仅为图像解码系统的ASIC实现做了一定的理论分析和技术准备,也为FPGA技术在数字信号处理领域的应用开辟了新的研究方向.在硬件设计过程中,根据FPGA技术的优点,采用"自上而下"和"自下而上"相结合的设计方法,将整个系统进行功能模块分割并分别实现.所有处理模块均采用VERILIG语言编写,对其中的主要模块都进行了优化设计.通过这些优化不仅提高了解压性能,还减少了处理时间和所占用的硬件空间.最后通过仿真表明了所实现的图像解码系统具有良好的性能,具有一定的使用价值.

    标签: FPGA 数字图像 监控系统 片的设计

    上传时间: 2013-06-26

    上传用户:再见大盘鸡