虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

5.24

  • VIP专区-嵌入式/单片机编程源码精选合集系列(56)

    VIP专区-嵌入式/单片机编程源码精选合集系列(56)资源包含以下内容:1. 一个很好用的ARM调试代理,有了它你就可以不用再买ARM仿真器了.可以自己做一个了.2. 一种标准.3. a gps program.4. ADS的安装调似视频教程.5. 包括:DSP定时器使用,DSP_DMA的使用,DSP_MCBSP的使用,GMSK基带波形产生,学校学分绩的计算.6. 本程序是用C 语言实实现模拟信号到数字信号的转换,经过验证..7. 用C语言实现LDC功能,有所改进,拿出来共享一下..8. 基于Plinps的SJA1000CAN总线控制器的CAN应用开发程序.9. 基于菲利普的LPC2100的集成CAN控制器的应用程序.10. 基于S3C44B0的嵌入式系统设计与开发实例详解的部分源代码.11. 基于S3C44B0x的嵌入式系统设计与开发实例详解部分源代码.12. 基于S3C44B0x的嵌入式系统设计与开发实例详解部分源代码.13. E680i脚本运行器.14. 运用protel99se,实现试验小板的原理图设计、pcb板设计.15. 1针对三星44B0的开发程序2对于网卡的驱动程序3程序采用C语言编程4采用ARM指令集5全部代码在 ADS1.2 中编译调试.16. 1为LCM的驱动程序 2采用汇编语言 3在WAVE6000编程软件下编译运行.17. 自制JTAG调试代码.18. 网上搜索到的时钟芯片HYM8563的C51语言程序.19. 74hc595的C语音驱动程序.20. 关于日本最著名的实时系统T-KERNEL的平台下的AD键盘实现源码.21. 基于I2C协议的读写程序,本人是用作IC卡读卡器用的.22. 此二程序为PIC和I2C实例程序.23. 包含一个完整的开发实例的源文件(包括LED驱动),已经通过编译,供upsd3200开发参考..24. 包含一个完整的开发实例的源文件(包括adc,I2C,LCD,SPI,timer,LED),已经通过编译,供upsd3300开发参考..25. 学习FPGA CPLD的入门文档.26. 这是一个基于ARM44B0X的串口实验程序..27. 关于dsPIC系列芯片乘法和除法运算的详细介绍.28. ZLG_LPC214x的USB固件程序C源码。针对LPC214xLPC的USB设备控制器.29. arm-2410-文件系统额使用实例(1).30. arm-2410-文件系统额使用实例(2).31. 综合微机通用总线、定时计数器、并行接口、中断控制器等芯片等控制原理.32. MC68HC908EY16 LIN-BUS源码(编译环境:CodeWarriorV3.1).33. 一个很丰富的PID控制算法.34. 可录放语音芯片ISD4003的放音驱动程序.35. 三星44B0X原理图资料.36. A six people s rushing replies an implement, use some s switches in toggle switch K0 ~ K5 is that ON.37. 该程序是在NIOS II环境下运行的 使用LWIP协议栈实现了HTTP协议的接受请求 SOCKET编程.38. cpld fpga 一些应用实例程序的源代码..39. 刚刚学习CPLD的绝对有用.40. 一个LED显示程序.

    标签: 线性

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(57)

    VIP专区-嵌入式/单片机编程源码精选合集系列(57)资源包含以下内容:1. 12864汉字液晶显示驱动程序.2. LCD液晶程序.3. Scan image DSP process Sca n image DSP process Sca n image DSP process Sca n image DSP process.4. PIC16F946 底层驱动.5. PIC16F946 底层驱动.6. cpld简单的教程.7. 针对嵌入式micro window系统.8. PPC下的文本编辑器源代码.9. PDIUSBD12USB固件编程与驱动开发周立功D12Win98驱动源码.10. C/C++嵌入式系统编程.11. 此文档为adi公司arm7tdmi内核的aduc70XX系列各模块的源代码.12. 这是用CH375芯片做的u盘转存的例子.13. 这是一个关于一个无线通讯芯片的无线的link的程序.14. msp430的iic通讯子程序.15. PADS2005中文教程.16. protel的常用器件库 protel的常用器件库 protel的常用器件库.17. cnstl970一款经典一款经典 cnstl970一款经典.18. 1602a经典资料 1602a经典资料.19. 一个计时程序.20. LED程序.21. windriver公司的vxworks系统是专业的嵌入式实时操作系统.22. 提供FAT16文件系统在嵌入式操作系统U_COS中的方案.23. 傅立叶变换程序 傅立叶变换程序.24. 请先删除编译后的debug/release.25. 液晶资料 液晶资料 液晶资料.26. 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料.27. 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料.28. 液晶资料 液晶资料 液晶资料 液晶资料 液晶资料.29. PHILIPS LPC76X D/A 实例程序.30. 为SYT240160CZK液晶显示的驱动.31. 该原码主要介绍如何在DSP上实现视频输入与输出的代码,基于DSP6000系列的..32. LCD显示测试程序.33. 基于双NIOS II 的IP无线收发机 2006年嵌入式电子大赛获奖作品.34. 2006altera大赛-基于软核Nios的宽谱正弦信号发生器设计:摘要:本设计运用了基于 Nios II 嵌入式处理器的 SOPC 技术。系统以 ALTERA公司的 Cyclone 系列 FPGA.35. Zigbee document about system structure.36. Samsung KS0719 graphical LCD (SPI) This driver allows to draw points and to write characters on thi.37. C51 API 例子源码.38. Api_for_usb_products 源码..39. avr mega8 pwm 试验带 Proteus 仿真.40. Alterla官方版ep1c20 FPGA NOISII开发板原理图.

    标签: 机械加工 工艺

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(58)

    VIP专区-嵌入式/单片机编程源码精选合集系列(58)资源包含以下内容:1. veriloghdl语言工具书.2. 嵌入式词典查询.3. 基于ZigBee的无线网络技术.4. IO 口模拟232通讯程序使用两种方式的C程序.5. 用Turbo C 实现动画的一个简单的实现方法 这种简单方法利用cleardevice()和delay()函数相互配合.6. dsp2812系列开发办的原理图.7. 此程序为网络通信的16位校验累加和的经典程序。虽然简单但是很经典。代码简洁.8. 触摸式人行灯控制器原理图 触摸式人行灯控制器原理图.9. 3310LCD的C51驱动程序,还不错哦.10. 一些常用的LCD的C51驱动程序,还不错哦.11. NXP示例编码集,Software that is described herein is for illustrative purposes only which provides custom.12. W78E516B在系统编程的上位机程序.13. 使用TI TMS2407 实现SVPWM同步电机控制算法。源代码在闻廷科技的2407开发板上已经调试成功。.14. Nucleus Kernel Demo Full source code.15. Altera CPLD矩阵键盘一个很不错的Altera芯片程序.16. 基于Nios II的串口通信.17. The combined demo is dedicated for S1C33L05, so DMT33L05 should be used to load and run the demo. F.18. 用CPLD驱动SJA1000 CAN控制器.19. arm uart program lpc2104.20. 嵌入式PLC,基于8051F020,在KEIL上开发,可实现自定义PLC功能.21. SMDK2410原理图protel格式和PCB库元件.22. 功能:调用IAP服务程序实现Flash ROM的读/写操作向Flash ROM地址1000H处写入10个数据.23. 功能:由KEY1键使系统进入空闲状态.24. WDT功能试验。使用WDT的定时模块.25. 功能:使用CCU的4个模块.26. s3c2440开发板can控制器sja1000驱动.27. 一个3分频器。可进一步改装成实际需要的分频器使用.28. 通过软件的仿真,硬件的调试,实现串口数据的传输,开发环境包括C-C++与汇编语言..29. ICD2原理图(protel格式、带usb接口和串口).30. 免費分享版網路硬碟 01.創意風格首頁 02.申請會員 03.密碼查詢 04.會員容量限制 05.上傳檔案支援  Persits.Upload Dundas.Upload Lyf.31. 是一个ARM214系连的最小系统原理图,希望能多爱好嵌入是开发的人员有帮助.32. ds1621的c51驱动,带12864液晶驱动..33. ds1302时钟代码.34. LCD显示屏驱动sed1335驱动.35. 汉字显示字库及程序源码14*16标准字库.36. 14*16字库生成器升级版.37. This utility downloads code to Philip LPC 2000 series chips.38. 测试ARM的外围SDAM的状态和参数.39. 三星44B0上的MP3源码.40. CPLD 的程序,分频器.

    标签: 塑料 模具 软件

    上传时间: 2013-07-23

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(59)

    VIP专区-嵌入式/单片机编程源码精选合集系列(59)资源包含以下内容:1. 工业控制中常用的PID算法C编写的.2. 12232点阵信利液晶基本驱动程序.3. LCM-12864汉字液晶显示驱动程序.4. C51编写的1602显示字符串程序.5. MSP430.6. 基于三星44b0box万龙开发板的触摸屏的驱动程序.7. 做热表计量用.8. MSP430,常用中断.9. MSP430段码显示.10. 用msp430驱动1621芯片的段码液晶。.11. 深圳PXA270原理图,PROTEL99SE格式.12. ALTERA PWM電路 這是一個ALTERA的PWM電路.13. Tinyos 的一个无线应用的实现非常好用 希望大家能够喜欢.14. 内含C按键子程序.15. 并口的EPP模式模拟IIC接口.16. 直流电机PID控制程序,希望能帮助有需要的朋友..17. 这个源码是用altera公司的开发工具NIOS II IDE开发的基于软核处理器的AD、DA控制程序.18. 此源码是利用altera公司的NIOS II IDE开发的.19. 此源码是利用altera公司的nios II IDE开发的.20. 此源码是用altera公司的nios II IDE开发的.21. 此源码是利用altera公司的nios II IDE开发的源码.22. DOS下提取字模的程序.23. 此工程项目用于测试键盘 下载并运行该工程项目后.24. ZigBee精简概要 ZigBee精简概要.25. ZigBee问答 ZigBee问答ZigBee问答.26. 刚接触NIOS的时候学习NIOS串口通讯时候些的一个程序.27. MC9S12DG128.28. EZUSB FX2(Cy68013A)源码.29. 一个好程序关于CPLD的直线插补在数字积分中的应用.30. C1L105.c驱动.31. HX8309驱动.32. S6D0118驱动.33. MT18G09J.34. TL1771驱动.35. 166QQ_K驱动.36. Protel99SE的简明教程.Protel99SE是电路设计的入门软件.37. TMS320F2812的BIOS时钟的例程.38. 基于NIOS 软核处理器的uClinux的移植.39. ATmega8515 avr控制有源蜂鸣器发声的程序.40. 一个真正微型操作系统,比uCOS更小,只支持16个任务,但速度更快,所占资源更少..

    标签: 化学 化学工程师

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(60)

    VIP专区-嵌入式/单片机编程源码精选合集系列(60)资源包含以下内容:1. 16 * 16 的127个ascii码的点阵字库.2. ARM MP3解码源代码 实现MP3播放应用.3. 本文介基于CPLD和USB的多路温度数据采集系统.4. 89c51+sj1000 的发送例程 can2.0 扩展帧.5. 一个很好的串口类.6. cpld状态及设计。 很好的文章。 要设计vhdl状态机的话.7. c51formenu.c该文件是一个用c51编写一个menu的例子..8. MSP430F449 子程序,MSP-FET430P440 Demo - USART1 UART 38400 Echo ISR, DCO SMCLK..9. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, DCO SMCLK.10. MSP-FET430P440 Demo - WDT Toggle P5.1 Interval overflow ISR, 32kHz ACLK.11. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, DCO SMCLK.12. MSP-FET430P440 Demo - BasicTimer Toggle P5.1 using ISR, 32kHz SMCLK.13. MSP-FET430P440 Demo - Timer_A PWM TA1-2 upmode, DCO SMCLK.14. 恒颐arm9原理图(protel),直接可用.15. protel中CPLD器件的库可以方便的放进protel中.16. 这是一个以太网接口RTL8019AS和电路图一份.希望对大家有点参考.17. 关于lpc2292外接ds1302时钟芯片的驱动程序.18. 本文分别以GPI0口直接连接、串并转换连接、CPLD分部连接三种方法阐述了无外部总线的Philips ARM微控制器LPC2l0X与点阵图形液晶显示器的接口设计,并给出了硬件电路框图和主要程序。.19. arm 板的原理图 是三星的.20. BSP-15:高性能媒体处理DSP芯片开放指南说明文档.21. 如果您刚接触嵌入式开发.22. TMS320LF2407A原理图.23. 嵌入式arm-linux 2.6内核 s3c2410 的i2c总线驱动程序.24. 输入两组数.25. 应用VHDL、CPLD、EDA开发软件设计数字系统,能够显著增强设计的灵活性,提高产品的性能,减轻设计的工作量,缩短设计周期。传统的“固定功能集成块+连线”的设计方法正逐步地缩小应用范围,而基于芯片的.26. 使用lpc2114arm7内核的两个工程实例,采用ads编译器进行编译.27. 嵌入式系统开发的中英文词汇表并有详细注解,具有参考价值.28. 本程序仅供广大电子爱好者制作MP3学习和参考使用.29. arm-linux下.30. 适用于ucosii操作系统的文件系统.31. LCD 控制源代码.32. 用java写的GUI Analog Clock,用上了Observer,可以更改时间.33. s3c2410平台 直流电机有用的demo!大家共享.34. s3c2410平台 步进电机的demo.35. s3c2410平台can总线的一个例子.36. 音乐程序主要是控制频率而达到效果.37. 240x128 graphic mode/Driver ic:t6963C writed by hawk liu 2001 Aug. 22 1/128 duty 1/12.3 bia.38. USB芯片CH375EVT 资料源程序.39. 51 模拟SPI 51 模拟SPI 51 模拟SPI 51 模拟SPI.40. 基于时钟芯片DSC12C887的实时时钟系统.

    标签: 工程 手冊

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(61)

    VIP专区-嵌入式/单片机编程源码精选合集系列(61)资源包含以下内容:1. 基于DS18B20和RS232的智能温度控制系统.2. 串口程序和移植到MCS51的uCOS_II都是用uV2来运行的.3. 多款USB芯片原理图(ISP1161、ISP1362、ISP1581和D12).4. 数字电子技术之数字钟课程设计 分析 及其 课程设计报告.5. 这个程序包含了wince串口、GPS信号处理.6. S3C2410上的linux的GPRS驱动程序.7. 在S3C2410上实现linux环境下的键盘驱动驱动程序.8. 在S3C2410下实现linux环境下的步进电机驱动程序.9. 2812 can参考程序.10. zope开发使用说明书。zope为网页服务器+开发环境框架(相当于IBM的Websphere但其开源.11. 其中的usb端口通信已经作为一个模块来使用.12. 430f1121与rf905接口.13. CPLD在USB20接口中的应用.14. 嵌入式系统外围接口电路的复杂可编程逻辑器件实现.15. 汉字液晶子程 液晶屏分为4行12列汉字.16. max7219+ds1302+LED+at89s52(时钟程序.c.17. 16路舵机控制程序。可以同时控制16个舵机.18. TI公司的32位DSP芯片2812的烧写插件.19. TI公司的32位DSP芯片2812的烧写插件.20. 交通灯的两种控制.21. 基于DSP的数据采集源程序.22. 主要介绍了DSP的系统配置以及中断的工作原理等内容.23. 12232的液晶显示程序,是胖胖工作室写的一个范例.我就是用这个程序了解LCD的编程了.相当不错.替胖胖工作室贡献给大家了..24. TDS2407EA的详细使用说明文档,适合初学者.25. LCD1602技术资料PDF格式.26. LPC2138读取MC14433的源程序.27. 合众达DSK5509开发板原理图.28. Keil uVision2(8052芯片)下用C语言开发的串行菜单结构的简单数据采集系统.29. 自己写的频率计显示部分.30. 软件看门狗程序.31. 智能电话系统的全部C-51,ASM51源程序 内部文件较多.32. 智能化家电控制.c 只有一个.c文件。。工程被删.33. AT89S52原理图AT89S52是一种低功耗、高性能CMOS8位微控制器.34. minigui开发的mgis代码.35. 这是CCFL背光驱路的原理图和接线方式图,对开发嵌入式系统中TFT液晶显示很有帮助.36. 这是ocmj4x8c的程序,比较好用.你可以根据自己的需要做适当的修改..37. 这个资料完整的介绍了常用温度采集器件18B20的使用说明,功能模块,接线,结构原理图,以及各个寄存器的用法等..38. CPLD_pkg_IO_Matrix 一本入门实践的好书 值得一看的 希望对大家有所帮助.39. DSP_EVM_54xx开发板原理图(PDF).40. 用CPLD做了个FPGA的FPP下载时序.

    标签: 机械设计手册

    上传时间: 2013-05-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(62)

    VIP专区-嵌入式/单片机编程源码精选合集系列(62)资源包含以下内容:1. pci8360v jiemianchengxu jimokuai.2. 做以太网时可能有帮助的!其中是些原理图和使用说明.3. 5000系列DSP实验常用程序.4. FPGA/CPLD集成开发环境ISE的使用详解 示例代码1.5. FPGA/CPLD集成开发环境ISE使用详解实例-2.6. FPGA/CPLD集成开发环境ISE使用详解实例-3.7. FPGA/CPLD集成开发环境ISE使用详解实例-4.8. FPGA/CPLD集成开发环境ISE使用详解实例-5.9. FPGA/CPLD集成开发环境ISE使用详解实例-6.10. 最简单的在C语言中嵌入汇编语言的方法实现汇编与C的衔接.11. 几种实现电机调速方法的源程序.12. TMS320F2812全套原理图.13. STR712评估板的电路原理图.14. STR71x开发板上做AD转换的代码.15. EVC实现LCD屏.16. LPC2148 通过 CH375 读写U盘的例子.17. 飞利浦ARM芯片LPC2148的PROTEL格式的原理图.18. 实现基于CPLD的CCD采集系统设计源码.19. USB2.0 高速OTG控制芯片isp1761的stack.20. STR71系列ARM例子程序源代码.21. MCU51_CPLD开发板电路图。在整个200M的开发资料中感觉这副电路图纸最重要.22. str912芯片的串口烧写程序源代码.23. atmel关于SPI方面的PPT中文版本 估计对大家有用.24. URM3751距离测量模板驱动程序,最近到0.5CM,精度0.1CM.25. 通过计算不了1S内下降沿个数,达到测频目的..26. 红外程序.27. 很好c语言编写的pid算法,具有很好的参考价值.28. 用于CPLD的控制.29. 汉字点阵源码 汉字点阵源码 汉字点阵源码.30. 自动报警系统 自动报警系统 自动报警系统.31. usb原理图可以进行usb仿真应该是很好用的.32. 基于AT91SAM7A3的usb鼠标源代码。在keil for arm 3.1上运行通过。希望对需要的同行有所帮助。.33. 豆浆机开发相关资料.34. 在VS2005环境中,可以实现蓝牙的搜索.35. maxim的AD191的AD采样控制程序.36. UART nios中文使用说明,使用的是uart的控制的基本指令而不是用的基本输入输出命令.37. 1、链接存储方法  链接方式存储的线性表简称为链表(Linked List)。  链表的具体存储表示为:   ① 用一组任意的存储单元来存放线性表的结点(这组存储单元既可以是连续的.38. philips i2c turner fi1256.39. I2C协议的小程序.40. 一款朋友自制的USB声卡.

    标签: 机械制图 国家标准

    上传时间: 2013-06-29

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(63)

    VIP专区-嵌入式/单片机编程源码精选合集系列(63)资源包含以下内容:1. zlg7289a驱动程序 包括头文件和主文件 汇编和C语言齐全.2. vc++ 开放的串口通讯程序.3. 电子万年历制作的全部资料,可用单面板制作,顶层线比较少可用跳线,内用源码+原理图+PCB,可以显示到2050年的阴阳历,只须调整阳历..4. i2c协议实现.5. 优龙PAX255开发板所带AC97声卡的测试程序源码.6. 周立功的USB大容量存储开发板带CPLD的代码D的源码.7. wangxiaoyong0015@yahoo.com.cn b不懂的给我发邮件!!! 谢谢啊!!一定支持我.8. 用VHDL实现的DDS.9. uclinux移植过程中.10. viterbi decoder , use verilog HDL language..11. 三星ARM试验箱.12. USB JTAG 卡. 允许从主机USB口直接控制JTAG I/O 信号。 USB端与Altera USB-Blaster使用相同的协议。主机端与openwince, OpenOCD和Altera的.13. 许多非常有用的 Verilog 实例: ADC, FIFO, ADDER, MULTIPLIER 等.14. LPC2214开发原理图,绝好!!!!!!!!!! LPC2214开发原理图,绝好.15. CPLD开发电缆原理图,绝好的东东!!! CPLD开发电缆原理图,绝好的.16. 语音评分算法的实现,主要可以实现对一段语音信号进行判别并进行打分功能..17. lpc2132开发板的原理图,适合初学者学习用.18. 用ICC写的ATMega8的4X4键盘驱动程序.19. FPGA-CPLD_DesignTool,事例程序陆续上传请需要的朋友下载.20. I2C编译通过...大家下去直接用.支持程序员联合开发网.21. 步进电机控制实验.22. MagicARM2410与PC机串口通信实验.23. CanBus通信实验.24. 这是个C的一个程序.25. 这是个嵌入式程序.26. 一个MSComm控件的收发程序.27. 包括TI全系列DSK原理图汇总.28. 周立功公司的USB2.0芯片ISP1581的键盘上位机VC编的源程序.29. 这是一个学习proteus很好的资料。希望对大家的学习很有帮助.30. 本代码内容是关于带遥控器控制的LCD显示的实时时钟。.31. 本代码是关于用INT0中断实现按键计数.32. 本代码是关于循环灯的代码.33. 本代码是关于符点数在数码管上显示的.34. 本代码是关于AT24C02串行存储器的读写的.35. 一种使用可控硅控制.36. 这是运动控制课程设计时自己设计的电路原理图.37. vxworks tffs mtd 层源码,支持非INTEL格式.38. 自己看吧 eerom的.39. DOS下的TCP/IP源代码,可以做参考..40. 基于FPGA的SD控制器实现.目前实现读操作功能,可作参考..

    标签: 五金手册

    上传时间: 2013-06-01

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(64)

    VIP专区-嵌入式/单片机编程源码精选合集系列(64)资源包含以下内容:1. 一个基于LPC21平台的CAN总线接收与发送实现源代码..2. LPC21XX的I2C与SPI部份的通迅实现源代码..3. 基于FPGA的单总线(ONE-WIRE)协议的实现源代码..4. 用AVR制作的打铃仪的完整程序.5. 基于SPI方式的MMC卡的FAT代码,可修改用于SD卡的管理..6. 51读写nandflash例程(CYPRESS 68013上的一个读写samsung K9F1G的例子程序)..7. 描述;用于MSP430F149。 异步通讯。 从串口接收数据.8. FreeRTOS V4.2.1,增加了AVR32 UC3 和 LPC2368 的支持.9. 电脑主机的PCI电视卡的源代码.10. 一个简单实用的内存管理程序.11. cpia usb摄像头的驱动程序源码。需要video4linux和i2c-core支持.12. MSP430F133驱动液晶的程序.13. ARM9系列,s3c2410实验代码.14. ARM9系列,s3c2410实验代码.15. SC6122红外线解码程序 遥控器解码处理程序.16. 51模拟串口c程序 //但我验证过.17. 这个是905的发送主程序刚刚上传的是接收程序很抱歉站长.18. C52继电器实验程序 控制两个继电器轮流闭合.19. C52万用红外遥控器实验程序,重新整理,供参考学习用.20. 扩频原理图用于做扩频通信使用.21. 这个是ATM9200开发板的例子.22. zigbee 飞思卡尔 音频传输 基于ucos的所有源码.23. ALTERA的EP1C12-Q240的原理图,PROTEL99SE格式..24. 双端口RAM的VHDL语言实现。完全在CPLD芯片上测试通过。可以实现对存储器读操作的同时对另外一个空间写操作.25. 基于44B0X的井字棋游戏.26. 用vhdl编写的pci源代码。花了我2000多元钱买来的.27. 资料请大家看看.28. 呵呵 好啊!给大家传写东西 会有用的阿 谢谢!.29. 给大家传一些资料.30. 关于dsp的音频程序.31. 关于dsp的音频程序.32. CPLD(FPGA)的开发与应用.33. 一个sd卡读写的源程序.34. BITEK LCD DRIVER IC OSD CODE.35. BITEK DRIVER IC MENU CODE.36. c8051f020测速.37. Proteus7.1Sp2最新版本破解.38. USB接口的JTAGICE(DIY)文档.39. 如果应用程序在共享 DLL 中使用 MFC.40. 用P1口.

    标签: 200 模具 实用技术

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(65)

    VIP专区-嵌入式/单片机编程源码精选合集系列(65)资源包含以下内容:1. DM9161应用电路原理图来自DAVICOM网站。需要的可以自由下载.2. ALTERA CPLD器件的配置与下载,贡献给初学习者,非长有用.3. This design package includes reference materials for creating a USB - PS/2 combination mouse that a.4. 字符表示的十六进制数转化为相应的整数    错误则返回    -1   .5. C51的12864m.6. 8个字LED点阵的显示程序.7. 51+ch375超级经典的U盘读写程序嘿嘿赶快下载把.8. PWM控制电机C程序-已通过实验测试 PWM控制精确控制电机的转动..9. C51串口单工通讯程序一成功通过测试,请放心下载.10. 来自网上好心人的好东东,关于SD卡读写的,内附protel原理图.11. 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 .12. 全是FPGA的例子 对大家应该有好处 大家赶快下把 知识不等人.13. 此为编程代码示例.14. 此为编程代码示例.15. 我在spartan-3e starter kit 的板上实现了mc8051.16. 完成MP3播放功能.17. 一个关于实时时钟驱动方面的程序(ARM7).18. 遍历二叉树的4个非递归算法 vc编程基础.19. 快速排序的非递归算法 vc编程练习.20. 雷达高频接收机.21. 我的开发板的所有测试程序.22. ps2的驱动.23. 密度测试仪的源码.24. 本程序主要内容18b20+s52+uart单点测温详细c51程序.25. CPLD 9536 程序 我自己用的代码. VHDL语言.26. AMD嵌入式处理器AU1200开发板原理图.27. cpld3128开发板的原理图 很有用,已经做成PCB,需要的话可以联系我.28. ertfs文件系统里面既有完整ucos程序.29. 基于atmega128的交通信号灯控制程序.30. 利用软件编写的I2C传输界面程序,适用于现有4位机等汇编语言中!.31. I2C控制程序,供大家学习参考,使用时根据自己的系统修改..32. This designs uses a Nios II system to demonstrate how to read from the SD card. The software reads W.33. his design is the initial design when the board is powered-up. It increments a counter and displays.34. 用于多于9个串口的ce驱动程序。时间仓促。可以参考.35. CSD卡的dos驱动程序源码.36. ADT700的小程序.37. 嵌入式的小程序.38. 基于cpld的hdb3编码器 基于cpld的hdb3编码器.39. 基于cpld的交通灯设计 ?赾pld的交通灯设计.40. 用于ARM9系列的S3C2440A的bootloader,Linux平台.

    标签: 固件

    上传时间: 2013-04-15

    上传用户:eeworm