虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

485接口

  • 基于DSPFPGA的1553B总线接口通讯模块的研究和应用.rar

    随着我国国防现代化建设进程的不断深化,MIL-STD-1553B标准总线已经广泛应用于各种军事应用领域。MIL-STD-1553B标准总线是我国上世纪八十年代引进的一种现代化通讯总线,国内称为GJB289A-97。该总线技术以其高稳定性和使用灵活等特点成为现代航空电子综合系统所广泛采用的通讯总线技术。 1553B总线接口模块作为总线通讯的基本单元,其性能成为影响航电综合系统整体性能的一个关键因素。目前国内关于1553B总线通讯模块的对外接口类型较多,而基于嵌入式处理芯片的接口设计并不多见。嵌入式设备具有体积小、重量轻、实时性强、功耗小、稳定性好以及接口方便等优点。 基于以上考虑,论文中提出了以DSP+FPGA为平台实现MIL-STD-1553B总线的收发控制,通过收发控制器和变压器实现MIL-STD-1553B总线的电气连接。根据项目需求,设计分为硬件和软件两部分完成。在对MIL-STD-1553B总线协议进行详细研究后提出了总体设计方案原理图。再根据方案需求设计各功能模块。使用硬件描述语言VHDL对各功能模块进行逻辑和行为描述,最终实现在FPGA中,使其能够完成1553B数据码的接受、发送、转换和与处理器的信息交换等功能。DSP部分采用的是TI公司的TMS320F2812,使用C语言进行软件的编译,使其实现总体控制和通讯的调度等功能。 该方案经过实际参与1553B总线通讯系统验证实验,证明各项技术指标均达到预定的目标,可以投入实际应用。

    标签: DSPFPGA 1553B 总线接口

    上传时间: 2013-04-24

    上传用户:671145514

  • 基于FPGA的cPCI接口数据采集系统设计.rar

    高速数据采集系统在信号检测、雷达、图像处理、网络通信等领域有广泛应用,不同的应用要求使用不同的总线和不同的设计,但是,无论基于何种应用,其设计的关键在接口的实现上。 @@ 随着cPCI总线技术的发展,cPCI总线逐渐代替了PCI总线、VME总线,成为测控领域中最受人们青睐的总线形式。 @@ 为满足高速采集过程中数据传输速度的要求和采集卡与PC机连接的机械强度的要求,本论文提出设计基于cPCI总线接口的数据采集系统。设计中利用单片FPGA芯片实现PCI协议,代替传统的FIFO芯片和串并转换芯片,并完成对模拟电路的控制功能;并提出将应用程序中的一部分数据读写操作放入动态链接库中,减少因应用程序反复调用驱动程序而造成的资源浪费和时间的延迟。 @@ 通过分析PCI总线协议,理解高频数字电路设计方法和高速数据采集原理,本文开发了基于cPCI接口的高速数据采集系统。经过综合测试和现场应用验证表明,采集系统已达到了要求的性能指标。 @@关键词:FPGA;数据采集系统;cPCI; PC

    标签: FPGA cPCI 接口

    上传时间: 2013-07-08

    上传用户:ikemada

  • 基于FPGA的高速串行接口模块仿真设计.rar

    现代社会信息量爆炸式增长,由于网络、多媒体等新技术的发展,用户对带宽和速度的需求快速增加。并行传输技术由于时钟抖动和偏移,以及PCB布线的困难,使得传输速率的进一步提升面临设计的极限;而高速串行通信技术凭借其带宽大、抗干扰性强和接口简单等优势,正迅速取代传统的并行技术,成为业界的主流。 本论文针对目前比较流行并且有很大发展潜力的两种高速串行接口电路——高速链路口和Rocket I/O进行研究,并以Xilinx公司最新款的Virtex-5 FPGA为研究平台进行仿真设计。本论文的主要工作是以某低成本相控阵雷达信号处理机为设计平台,在其中的一块信号处理板上,进行了基于LVDS(Low VoltageDifferential Signal)技术的高速LinkPort(链路口)设计和基于CML(Current ModeLogic)技术的Rocket I/O高速串行接口设计。首先在FPGA的软件中进行程序设计和功能、时序的仿真,当仿真验证通过之后,重点是在硬件平台上进行调试。硬件调试验证的方法是将DSP TS201的链路口功能与在FPGA中的模拟高速链路口相连接,进行数据的互相传送,接收和发送的数据相同,证明了高速链路口设计的正确性。并且在硬件调试时对Rocket IO GTP收发器进行回环设计,经过回环之后接收到的数据与发送的数据相同,证明了Rocket I/O高速串行接口设计的正确性。

    标签: FPGA 高速串行 接口模块

    上传时间: 2013-04-24

    上传用户:恋天使569

  • RS-485总线可靠性应用研究.rar

    RS-485总线可靠性应用研究 介绍的相当详细

    标签: 485 RS 总线

    上传时间: 2013-05-17

    上传用户:as275944189

  • 基于FPGA的绝对式光电编码器通信接口研究.rar

    高速、高精度已经成为伺服驱动系统的发展趋势,而位置检测环节是决定伺服系统高速、高精度性能的关键环节之一。光电编码器作为伺服驱动系统中常用的检测装置,根据结构和原理的不同分为增量式和绝对式。本文从原理上对增量式光电编码器和绝对式光电编码器做了深入的分析,通过对比它们的特性,得出了绝对式光电编码器更适合高速、高精度伺服驱动系统的结论。 绝对式光电编码器精度高、位数多的特点决定其通信方式只能采取串行传输方式,且由相应的通信协议控制信息的传输。本文首先针对编码器主要生产厂商日本多摩川公司的绝对式光电编码器,深入研究了通信协议相关的硬件电路、数据帧格式、时序等。随后介绍了新兴的电子器件FPGA及其开发语言硬件描述语言Verilog HDL,并对基于FPGA的绝对式编码器通信接口电路做了可行性的分析。在此基础上,采用自顶向下的设计方法,将整个接口电路划分成发送模块、接收模块、序列控制模块等多个模块,各个模块采用Verilog语言进行描述设计编码器接口电路。最终的设计在相关硬件电路上实现。最后,通过在TMS320F2812伺服控制平台上编写的硬件驱动程序验证了整个设计的各项功能,达到了设计的要求。

    标签: FPGA 光电编码器 通信接口

    上传时间: 2013-07-11

    上传用户:snowkiss2014

  • 基于FPGA的SCI串行通信接口的研究与实现.rar

    国家863项目“飞行控制计算机系统FC通信卡研制”的任务是研究设计符合CPCI总线标准的FC通信卡。本课题是这个项目的进一步引伸,用于设计SCI串行通信接口,以实现环上多计算机系统间的高速串行通信。 本文以此项目为背景,对基于FPGA的SCI串行通信接口进行研究与实现。论文先概述SCI协议,接着对SCI串行通信接口的两个模块:SCI节点模型模块和CPCI总线接口模块的功能和实现进行了详细的论述。 SCI节模型包含Aurora收发模块、中断进程、旁路FIFO、接受和发送存储器、地址解码、MUX。在SCI节点模型的实现上,利用FPGA内嵌的RocketIO高速串行收发器实现主机之间的高速串行通信,并利用Aurora IP核实现了Aurora链路层协议;设计一个同步FIFO实现旁路FIFO;利用FPGA上的块RAM实现发送和接收存储器;中断进程、地址解码和多路复合分别在控制逻辑中实现。 CPCI总线接口包括PCI核、PCI核的配置模块以及用户逻辑三个部分。本课题中,采用FPGA+PCI软核的方法来实现CPCI总线接口。PCI核作为PCI总线与用户逻辑之间的桥梁:PCI核的配置模块负责对PCI核进行配置,得到用户需要的PCI核;用户逻辑模块负责实现整个通信接口具体的内部逻辑功能;并引入中断机制来提高SCI通信接口与主机之间数据交换的速率。 设计选用硬件描述语言VerilogHDL和VHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为SCI串行通信接口编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。最后,将FPGA设计下载到FC通信卡中运行,并利用ISE内嵌的ChipScope Pro虚拟逻辑分析仪对设计进行验证,运行结果正常。 文章最后分析传输性能上的原因,指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA SCI 串行通信接口

    上传时间: 2013-04-24

    上传用户:竺羽翎2222

  • USB20加密接口芯片的设计及其FPGA验证.rar

    信息安全在当今的社会生产生活中已经被广为关注,对敏感信息进行加密是提高信息安全性的一种常见的和有效的手段。 常见的加密方法有软件加密和硬件加密。软件加密的方法因为加密速度低、安全性差以及安装不便,在一些高端或主流的加密处理中都采用硬件加密手段对数据进行处理。硬件加密设备如加密狗和加密卡已经广泛地应用于信息加密领域当中。 但是加密卡和加密狗因为采用的是多芯片结构,即采用独立的USB通信芯片和独立的加密芯片来分别实现数据的USB传输和加密功能,如果在USB芯片和加密芯片之间进行数据窃听的话,很轻易地就可以获得未加密的明文数据。作者提出了一种新的基于单芯片实现的USB加密接口芯片的构想,采用一块芯片实现数据的USB2.0通信和AES加密功能,命名为USB2.0加密接口芯片。 USB2.0加密接口芯片采用了USB2.0接口标准和AES加密算法。该加密芯片可以实现与主机的快速通信,具有快速的密码处理能力,对外提供USB接口,支持基于USB密码载体的自身安全初始化方式。 根据设计思想,课题研究并设计了USB2.0加密接口芯片的总体硬件架构,设计了USB模块和AES加密模块。为了解决USB通信模块与AES加密模块之间存在的数据处理单元匹配以及速度匹配问题,本文设计了AESUSB缓冲器,优化了AES有限域加密算法。最后,利用VerilogHDL语言在FPGA芯片上实现了USB2.0加密接口芯片的功能,并在此基础之上对加密芯片的通信和加密性能进行了测试和验证。

    标签: FPGA USB 20

    上传时间: 2013-05-24

    上传用户:黄华强

  • 基于USB2.0的FPGA配置接口及实验开发评估板设计与实现.rar

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: FPGA USB 2.0

    上传时间: 2013-04-24

    上传用户:lingduhanya

  • LPC总线接口UART控制器FPGA实现.rar

    随着微电子技术的快速发展,电子设备逐渐向着小型化、集成化方向发展;人们在要求设备性能不断提升的同时,还要求设备功耗低、体积小、重量轻、可靠性高。同样在我军武器装备的研制过程中,也对各武器装备都提出了新的要求,特别是针对单兵配备的便携设备,对体积、功耗、扩展性的要求更是严格。 在某手持式设备的开发项目中,需要设计一块接口板,要求实现高达8个串行口扩展以及能源管理和数字输入输出接口等功能,该接口板与处理器模块的连接总线采用LPC总线,整个手持设备除了对功能有基本的要求以外,对体积及功耗都提出了极高的要求。针对项目的具体设计要求,经过与传统设计方法的比较,决定采用FPGA来实现LPC接口及UART控制器功能。 论文的主要目标是完成LPC接口的UART控制在FPGA中的实现。对于各模块中的关键的功能部分,文中对其实现都进行了详细的说明。整个设计全部采用硬件描述语言(HDL)实现,并且采用了分模块的设计风格,具有很好的重用性。 为了在硬件平台上验证设计,还实做了FPGA验证平台,并用C语言编写了测试程序。经过验证,该方案完全实现了接口板的功能要求,并且满足体积和功耗上的要求,取得了良好的效果。 论文通过采用FPGA作为电路设计的核心,以一种新的数字电路设计方法实现电路功能;旨在通过这种方式,不断提高设备的性能并拓展设计者思想。

    标签: FPGA UART LPC

    上传时间: 2013-04-24

    上传用户:wlyang

  • 基于FPGAHDL的随机读写I2C串行总线接口电路设计.rar

    I2C(Inter Integrated Circuits)是Philips公司开发的用于芯片之间连接的串行总线,以其严格的规范、卓越的性能、简便的操作和众多带I2C接口的外围器件而得到广泛的应用并受到普遍的欢迎。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用Verilog/FPGA来实现一个随机读/写的I2C接口电路,实现与外围I2C接口器件E2PROM进行数据通信,实现读、写等功能,传输速率实现为100KBps。在Modelsim6.0仿真软件环境中进行仿真,在Xilinx公司的ISE9.li开发平台上进行了下载,搭建外围电路,用Agilem逻辑分析仪进行数据采集,分析测试结果。 首先,介绍了微电子设计的发展概况以及设计流程,重点介绍了HDL/FPGA的设计流程。其次,对I2C串行总线进行了介绍,重点说明了总线上的数据传输格式并对所使用的AT24C02 E2PROM存储器的读/写时序作了介绍。第三,基于Verilog _HDL设计了随机读/写的I2C接口电路、测试模块和显示电路;接口电路由同步有限状态机(FSM)来实现;测试模块首先将数据写入到AT24C02的指定地址,接着将写入的数据读出,并将两个数据显示在外围LED数码管和发光二极管上,从而直观地比较写入和输出的数据的正确性。FPGA下载芯片为Xilinx SPARTAN Ⅲ XC3S200。第四,用Agilent逻辑分析仪进行传输数据的采集,分析数据传输的时序,从而验证电路设计的正确性。最后,论文对所取得的研究成果进行了总结,并展望了下一步的工作。

    标签: FPGAHDL I2C 随机

    上传时间: 2013-06-27

    上传用户:liuchee