虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

2.88

  • 驾驶证科目一考试系统 1.管理端各用户的密码已清空 2.考试端运行ks.exe

    驾驶证科目一考试系统 1.管理端各用户的密码已清空 2.考试端运行ks.exe,输入考号111,显示考生为“程序员联合开发网”,输入开考口令88 开始交规考试 3.源码在prog目录中 4.主要功能是 4.1 交规在线考试,管理端能实时获知各考试端的实时成绩 4.2 管理端能够把某考试端踢出或强制交卷或延时交卷或自动答题 4.3 管理端能够把全部考试端电脑关机或重启 4.4 考试端运行一次后将设置为自身自动开机加载,可以手工删除启动组的自动图标 4.5 考试端应用了winapi封锁了任务档和开始菜单  4.6 考试端能仅用键盘或仅用鼠标答题 5.考试后管理端点击成绩上传将存入交警总队的oracle数据库,为了保秘,已经删除了oracle连接串的数据库名和密码,所以在该版本中,点击上传将返回连接oracle数据库失败的提示。 6.系统口令为11111111,帮助考生自动答题的口令为动态口令,由(年+月+日)*某常量。管理端对某考试端执行该口令后,该考生随机获得92分至97分的成绩。 该软件已是成熟商业软件,已成功在二十多个县市使用,一字不改的侵权行为将受到起诉。

    标签: exe ks 驾驶

    上传时间: 2016-08-31

    上传用户:luopoguixiong

  • 国家计算机标准和文件模板 国家规范 GB 8567-88 计算机软件产品开发文件编制指南 GB/T 12505-90 计算机软件配置管理计划规范 GB/T 12504-90 计算机软件

    国家计算机标准和文件模板 国家规范 GB 8567-88 计算机软件产品开发文件编制指南 GB/T 12505-90 计算机软件配置管理计划规范 GB/T 12504-90 计算机软件质量保证计划规范 模板 1 需求设计说明书 2 详细设计说明书 3 总体设计说明书

    标签: GB 12505 12504 8567

    上传时间: 2013-12-05

    上传用户:yuanyuan123

  • 集成电路收音机原理与维修 173页 2.6M.pdf

    家电维修相关专辑 88册 9.18G集成电路收音机原理与维修 173页 2.6M.pdf

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • 家用电脑丛书 跟我学Lotus1-2-3 280页 9.8M.pdf

    家电维修相关专辑 88册 9.18G家用电脑丛书 跟我学Lotus1-2-3 280页 9.8M.pdf

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • 13种电动自行车控制电路图 2.1M.rar

    家电维修相关专辑 88册 9.18G13种电动自行车控制电路图 2.1M.rar

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • 家电维修技术2--黑白电视机 彩电 358页 10.2M.pdf

    家电维修相关专辑 88册 9.18G家电维修技术2--黑白电视机 彩电 358页 10.2M.pdf

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • 88例电子制作PROTEL 99SE硬件原理图及PCB工程设计文件

    88例电子制作PROTEL 99SE硬件原理图及PCB工程设计文件,可以做为你的设计参考

    标签: ROTEL99SE

    上传时间: 2022-04-15

    上传用户:aben

  • VIP专区-嵌入式/单片机编程源码精选合集系列(88)

    VIP专区-嵌入式/单片机编程源码精选合集系列(88)资源包含以下内容:1. 计算机并口转3路串行DA的DLL源码及电路,芯片MAX541/MAX5541,可以免费申请,8路I/O输出,5路输入,并口的简单极限应用.经使用各项性能不错,就是DA抗干扰较差,没有较大的电磁干扰运行.2. arm9上测试串口代码.3. I2C controller verilog code for altera fpga platform..4. sigma-delta ADC轉換之matlab模型.5. NiosII的Flash编程指南(www.sopc.net.cn).6. 楼宇门禁对讲机主机原理图.7. 开发环境.8. 开发环境WAVE6000.9. vb环境下用动态规划方法编的0/1背包问题.10. 该实验设计模60计数器.11. 嵌入式课程设计 页面置换算法模拟 列出缺页缺页次数和缺页率.12. DSP系列中的TMS320F2812 ADC范例程序.13. TMS320F2812 GPIO_input范例程序.14. TMS320F2812 SPI_FFDLB范例程序.15. 指令集模拟器.16. s3c44b0x的一些相关芯片资料,是官方的pdf格式,很有用.17. 大屏320240的C语言测试程序,已验证通过,请放心使用.18. 最新火热的CX32 源代码.19. 关于台湾新茂SM5964 I2C的程序.20. gps开发专用的源代码.21. Moore型状态机设计,基于VHDL.能够根据微处理器的读写周期,分别对应存储器输出写使能WE和读使能OE信号..22. 文介绍一款用AT89C51和串行ROM 制作的电子密码锁.23. 总线控制器 altera提供的FPGA源代码.24. bios嵌入DOS操作系统,可以先编译romos.asm制作成BIN文件,加载至BIOS的ISA模块.另外还有制作工具.25. wt89c51 watch dog proce.26. 瑞萨H8系列芯片内置rom读写测试.27. Opencore提供的I2C代码.28. 译码器的逻辑功能是将已赋予特定含义的一组二进制输入代码的原意"翻译"出来,变成对应的输出高低电平信号.该程序为3-8译码器.基于VHDL,其开发环境是MAXPLUS2..29. 驱动SOLOMON的SSD182.30. 驱动三星的KS0107/KS0108.31. 驱动ULTRCHIP的UC1682.32. 是一个带PROTEUS仿真的8路数字电压表.33. SSD3的练习6的答案.快期末考试了.34. S3C2410A 的存储器控制器提供访问外部存储器所需要的存储器控制信号。 S3C2410A 的存储器控制器有以下的特性:.35. 这是一个三星44b0的中文文档.36. 这个arm7嵌入式蕊版的起动代码.37. 这个代码是用ADS1.2平台开发的一个mp3播放器。.38. 该程序能够对信号进行OFDM处理.39. 在网上看见很多人用DM413.40. 介绍了CPCI总线及快速、低功耗模数转换器件AD976的主要特点.

    标签: ADAMS View MSC 弹簧

    上传时间: 2013-06-18

    上传用户:eeworm

  • 制冷与制冷设备技术(第2版)

    制冷与制冷设备技术(第2版)

    标签: 制冷 设备技术

    上传时间: 2013-05-22

    上传用户:eeworm

  • ISO-15693 识别卡,无触点的集成电路卡 第2部分

    ISO-15693 识别卡,无触点的集成电路卡 第2部分

    标签: 15693 ISO 识别卡 无触点

    上传时间: 2013-07-27

    上传用户:eeworm