虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

音频转换器

  • 基于FPGA的高速实时数字存储示波器

    数字存储示波器(DSO)上世纪八十年代开始出现,由于当时它的带宽和分辨率较低,实时性较差,没有具备模拟示波器的某些特点,因此并没有受到人们的重视。随着数字电路、大规模集成电路及微处理器技术的发展,尤其是高速模/数(A/D)转换器及半导体存储器(RAM)的发展,数字存储示波器的采样速率和实时性能得到了很大的提高,在工程测量中,越来越多的工程师用DSO来替代模拟示波器。 本文介绍了一款双通道采样速率达1GHz,分辨率为8Bits,实时带宽为200MHz数字存储示波器的研制。通过对具体功能和技术指标的分析,提出了FPGA+ARM架构的技术方案。然后,本文分模块详细叙述了整机系统中部分模块,包括前端高速A/D转换器和FPGA的硬件模块设计,数据处理模块软件的设计,以及DSO的GPIB扩展接口逻辑模块的设计。 本文在分析了传统DSO架构的基础上,提出了本系统的设计思想和实现方案。在高速A/D选择上,国家半导体公司2005年推出的双通道采样速率达500MHz高速A/D转换器芯片ADC08D500,利用其双边沿采样模式(DES)实现对单通道1GHz的采样速率,并且用Xilinx公司Spraten-3E系列FPGA作为数据缓冲单元和存储单元,提高了系统的集成度和稳定性。其中,FPGA缓冲单元完成对不同时基情况下多通道数据的抽取,处理单元完成对数据正弦内插的计算,而DSO中其余数据处理功能包括数字滤波和FFT设计在后端的ARM内完成。DSO中常用的GPIB接口放在FPGA内集成,不仅充分利用了FPGA内丰富的逻辑资源,而且降低了整机成本,也减少了电路规模。 最后,利用ChipscopePro工具对采样系统进行调试,并分析了数据中的坏数据产生的原因,提出了解决方案, 并给出了FPGA接收高速A/D的正确数据。

    标签: FPGA 高速实时数 字存储 示波器

    上传时间: 2013-07-07

    上传用户:asdkin

  • 基于FPGA和PCI接口图像采集压缩卡

    随着数字图像处理的应用领域不断扩大,实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其在图像采集和处理方面的应用显得更加经济、灵活、方便。 本文设计了一种以FPGA为工作核心,并实现了PCI接口的图像采集压缩系统。整个系统采用了自顶向下的设计方案,先把系统分成了三大块,即图像采集、PCI接口和图像压缩,然后分别设计各个大模块中的子模块。 首先,利用FPGA对专用视频转换器SAA7111A进行控制,因为SAA7111A是采用IC总线模块,从而完成了对SAA7111A的控制,并通过设计图像采集模块、读/写数据模块、总线管理模块等,实现把标准的模拟视频信号转换成数字视频信号并采集的功能。 其次,在了解PCI规范的前提下,深入地分析了PCI时序和地址配置空间等,设计了简化逻辑的状态机,并用VHDL硬件描述语言设计了程序,完成了简化逻辑的PCI接口设计在FPGA芯片内部的实现,达到了一33MHz、32位数据宽度、支持猝发传输的PCI从设备模块的接口功能,与传统的使用PCI专用接口芯片来实现的PCI接口比较来看,更加节约了系统的逻辑资源,降低了成本,增加了设计的灵活性。 再次,设计了WINDOWS下对PCI接口的驱动程序。驱动程序可以选择不同的方法来完成,当然每个方法都有自己的特点,对几种主要设计驱动程序的方法作以比较之后,本文选择了使用DRIVER WORKS工具来完成。通过对配置空间的设计、系统端口和内存映射的设计、中断服务的设计等,用VC++语言编写了驱动程序。 最后,考虑到增加系统的实用性和完备性,还填加设计了图像的压缩部分。这部分需要完成的工作是在上述系统完成后,再额外地把采集来的视频数据通过另一路数据通道按照一定的格式压缩后存储到硬盘中。本系统中,这部分设计是利用Altera公司提供的IP核来完成压缩的,同时还用VHDL语言在FPGA上设计了IDE硬盘接口,使压缩后的数据存储到硬盘中。

    标签: FPGA PCI 接口 图像采集

    上传时间: 2013-06-01

    上传用户:程婴sky

  • 数字电路基础总结

    《数字电路基础》是通信、电子信息等相关专业的基础课教材,全书共分7章,主要内容有:数字逻辑基础、组合逻辑电路、时序逻辑电路、脉冲信号的产生与变换电路、半导体存储器、数模与模数转换器、PLD和Verilog-HDL简介,各章配有例题、小结及习题。《数字电路基础》内容丰富、结构合理、实用性强,既可作为通信、电子信息等相关专业的专科、本科教材,也可以作为从事相关专业的技术人员参考书。

    标签: 数字电路基础

    上传时间: 2013-04-24

    上传用户:问题问题

  • 基于FPGA的PID控制器研究与实现

    基于微处理器的数字PID控制器改变了传统模拟PID控制器参数整定不灵活的问题。但是常规微处理器容易在环境恶劣的情况下出现程序跑飞的问题,如果实现PID软算法的微处理器因为强干扰或其他原因而出现故障,会引起输出值的大幅度变化或停止响应。而FPGA的应用可以从本质上解决这个问题。因此,利用FPGA开发技术,实现智能控制器算法的芯片化,使之能够广泛的用于各种场合,具有很大的应用意义。 首先分析FPGA的内部结构特点,总结FPGA设计技术及开发流程,指出实现结构优化设计,降低设计难度,是扩展设计功能、提高芯片性能和产品性价比的关键。控制系统由四个模块组成,主要包括核心控制器模块、输入输出模块以及人机接口。其中控制器部分为系统的关键部件。在分析FPGA设计结构类型和特点的基础上,提出一种基于FPGA改进型并行结构的PID温度控制器设计方法。在PID算法与FPGA的运算器逻辑映像过程中,采用将补码的加法器代替减法器设计,增加整数运算结果的位扩展处理,进行不同数据类型的整数归一化等不同角度的处理方法融合为一体,可以有效地减少逻辑运算部件。应用Ouartus Ⅱ图形输入与Verilog HDL语言相结合设计实现了PID控制器,用Modelsim仿真验证了设计结果的正确性,用Synplify Pro进行电路综合,在Quaitus Ⅱ软件中实现布局布线,最后生成FPGA的编程文件。根据控制系统的要求,论文设计完成了12位模数AD转换器、数据显示器、按键等相关外围接口电路。 将一阶、纯滞后、大惯性电阻炉温作为控制对象,以EP1C3T144 FPGA为核心,构建PID控制系统。在采用Pt100温度传感器、分辨率为2℃、最大温度控制范围0~400℃的条件下,实验结果表明,达到无超调的稳定控制要求,为降低FPGA实现PID控制器的设计难度提供了有效的方法。

    标签: FPGA PID 控制器

    上传时间: 2013-05-24

    上传用户:gyq

  • AD芯片大全

    AD系列芯片 1.模数转换器 AD1380JD 16位 20us高性能模数转换器(民用级) AD1380KD 16位 20us高性能模数转换器(民用级) AD1671JQ 12位 1.25MHz采样速率 带宽2MHz模数转换器(民用级) AD1672AP 12位 3MHz采样速率 带宽20MHz单电源模数转换器(工业级) AD1674JN 12位 100KHz采样速率 带宽500KHz模数转换器(民用级) AD1674AD 12位 100KHz采样速率 带宽500KHz模数转换器(工业级)

    标签: AD芯片

    上传时间: 2013-05-19

    上传用户:ljmwh2000

  • 基于FPGA的USB接口数据采集系统研究

    随着科学技术水平的不断提高,在科研和生产过程中为了更加真实的反映被测对象的性质,对测试系统的性能要求越来越高。传统的测试装置,由于传输速度低或安装不便等问题已不能满足科研和生产的实际需要。USB技术的出现很好的解决了上述问题。USB总线具有支持即插即用、易于扩展、传输速率高(USB2.0协议下为480Mbps)等优点,已逐渐得到广泛的应用。 本课题研究并设计了一套基于USB2.0的数据采集系统。论文首先详细介绍了USB总线协议,然后从系统的总体结构、硬件电路、软件程序以及系统性能检测等几个方面,详细阐述了系统的设计思想和实现方案。系统采用双12位A/D转换器,提供两条模拟信号通道,可以同时采集双路信号,最高的采样率为200KHz。USB接口芯片采用Cypress公司的CY7C68013。论文详细介绍了其在SlaveFIFO接口模式下的电路设计和程序设计。系统应用FPGA芯片作系统的核心控制,控制系统的数据采集和与USB接口芯片的数据交换,并产生其中的逻辑控制信号和时序信号。同时应用FPGA芯片作系统的核心控制可提高了系统稳定性、减小设备的体积。系统的软件设计,主要包括FPGA芯片中的逻辑、时序控制程序、8051固件程序、客户应用程序及其驱动程序。客户端选择了微软的Visual Studio6.0 C++作开发平台,虽然增加了复杂程度,但是软件执行效率及重用性均得到提高。 最后,应用基于USB2.0的数据采集系统测试标准信号及电木的导热系数,以验证测试系统的可靠信与准确性。

    标签: FPGA USB 接口 数据采集

    上传时间: 2013-04-24

    上传用户:凤临西北

  • 高精度地震勘探数据采集系统

    本文分析了当代高精度地震勘探数据采集系统的发展现状,研究了数据采集的A/D方法及理论、现场可编程门阵列(Field Programmable GateArray,FPGA)技术的发展及原理,串口通信的原理及实现。在此基础上,探讨了采用FPGA控制24位△∑模数转换器来实现高精度地震勘探数据采集系统的实现思路,对探测传感器或检波器后端数据采集系统的信号A/D转换、FPGA与外部接口设计、串口数据通信做了详细的研究,尤其是在用FPGA来完成与外部ADC的接口控制上做了深入的开发和设计,整个接口控制模块采用VHDL语言编写,并同时将ROM、FIFO等数字逻辑模块一起集成到一片FPGA芯片当中,并在Quartus Ⅱ6.0的开发平台上通过了软件仿真,时序仿真结果达到了系统要求。

    标签: 高精度 地震勘探 数据采集系统

    上传时间: 2013-05-21

    上传用户:yuele0123

  • AD574在数据采集中的应用

    论述了AD574逐次逼近型12位模数转换器的原理、应用以及与单片机所构成的数据采集系统,分析了系统的硬件、软件结构和具体操作,给出了AD574与AT89C51单片机的接口线路图.

    标签: 574 AD 数据采集 中的应用

    上传时间: 2013-05-23

    上传用户:ca05991270

  • 基于FPGA的旋转变压器解码算法

    由于旋转变压器的高精度高可靠性等特点,广泛的应用于如航空、航天、船舶、兵器、雷达、通讯等领域。旋转变压器输出模拟量交流信号,经过数字处理转换为数字角度信号才能进入计算机或其他控制系统,而这种数字处理比较复杂,采用专用的旋转变压器解码芯片想达到理想的精度通常需要较高的成本,限制了它在其他领域的应用。传统的角测量系统面临的问题有:体积、重量、功耗偏大,调试、误差补偿试验复杂,费用较高。 现场可编程门阵列(FPGA)是近年来迅速发展起来的新型可编程器件。随着它的不断应用和发展,也使电子设计的规模和集成度不断提高。同时也带来了电子系统设计方法和设计思想的不断推陈出新。 本文的目的是研究利用FPGA实现旋转变压器的硬件解码算法,设计基于FPGA的旋转变压器解码系统。 在本文所设计的系统中,通过FPGA芯片产生旋转变压器的激励信号,再控制A/D转换器对旋转变压器的模拟信号的数据进行采样和转换,并对转换完的数据进行滤波处理,使用基于CORDIC算法流水线结构设计的反正切函数模块解算出偏转角θ,最后通过串行口将解算的偏差角数据输出。本文还分析了该系统误差产生的原因和提高系统精度的方法。 实验结果表明,本文所设计的旋转变压器解码器的硬件组成和软件实现基本能够较精确的完成上述的信号转换和数据运算。

    标签: FPGA 旋转变压器 解码 算法

    上传时间: 2013-05-23

    上传用户:gdgzhym

  • 太阳能热水器智能控制系统的程序g.rar

    本程序是一个太阳能热水器智能控制系统的程序。它以89C52单片机为核心,配合电阻型4档水位传感器、负温度系数NTC热敏电阻温度传感器、8255A扩展键盘和显示器件、驱动电路(电磁阀、电加热、报警)等外围器件, 完成对太阳能热水器容器内的水位、水温测量、显示;时间显示;缺水时自动上水,水溢报警;手动上水、参数设置;定时水温过低智能电加热等功能。 其中本文第一章主要说明了太阳能热水器智能控制系统的研究现状和本课题的主要任务,第二章对系统的整体结构作了简单介绍,第三章重点介绍了水位水温测量电路,第四章介绍了时钟电路,第五章介绍了显示和键盘电路,第六章对其他电路作了介绍,第七章是对水位测量电路的硬件调试。 本系统对于水位传感器、水温传感器的电阻数据的处理均采用独特的RC充放电的方法。它与使用A/D转换器相比,电路简单、制造成本低。特别适用于对水位、水温要求不精确的场合。

    标签: 太阳能热水器 智能控制系统 程序

    上传时间: 2013-06-17

    上传用户:rhl123