虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

阻塞赋值

在VerilogHDL的概念中阻塞赋值操作符用等号(即=)表示。在赋值时先计算等号右手部分的值,这时赋值语句不允许任何别的Verilog语句的干扰,直到现行的赋值完成时刻,才允许别的赋值语句的执行。
  • VHDL 基础程序百例 FPGA 逻辑设计源码

    VHDL 基础程序百例 FPGA 逻辑设计源码VHDL语言100例第1例 带控制端口的加法器第2例 无控制端口的加法器第3例 乘法器第4例 比较器第5例 二路选择器第6例 寄存器第7例 移位寄存器第8例 综合单元库第9例 七值逻辑与基本数据类型第10例 函数第11例 七值逻辑线或分辨函数第12例 转换函数第13例 左移函数第14例 七值逻辑程序包第15例 四输入多路器第16例 目标选择器第17例 奇偶校验器第18例 映射单元库及其使用举第19例 循环边界常数化测试第20例 保护保留字第21例 进程死锁 第22例 振荡与死锁第23例 振荡电路第24例 分辨信号与分辨函数第25例 信号驱动源第26例 属性TRANSACTION和分辨信号第27例 块保护及属性EVENT,第28例 形式参数属性的测试第29例 进程和并发语句第30例 信号发送与接收第31例 中断处理优先机制建模第32例 过程限定第33例 整数比较器及其测试第34例 数据总线的读写第35例 基于总线的数据通道第36例 基于多路器的数据通道第37例 四值逻辑函数第38例 四值逻辑向量按位或运算第39例 生成语句描述规则结构第40例 带类属的译码器描述第41例 带类属的测试平台第42例 行为与结构的混合描述第43例 四位移位寄存器第44例 寄存/计数器第45例 顺序过程调用第46例 VHDL中generic缺省值的使用第47例 无输入元件的模拟第48例 测试激励向量的编写第49例 delta延迟例释第50例 惯性延迟分析第51例 传输延迟驱动优先第52例 多倍(次)分频器第53例 三位计数器与测试平台第54例 分秒计数显示器的行为描述6第55例 地址计数器第56例 指令预读计数器第57例 加.c减.c乘指令的译码和操作第58例 2-4译码器结构描述第59例 2-4译码器行为描述第60例 转换函数在元件例示中的应用第61例 基于同一基类型的两分辨类型的赋值相容问题第62例 最大公约数的计算第63例 最大公约数七段显示器编码第64例 交通灯控制器第65例 空调系统有限状态自动机第66例 FIR滤波器第67例 五阶椭圆滤波器第68例 闹钟系统的控制第69例 闹钟系统的译码第70例 闹钟系统的移位寄存器第71例 闹钟系统的闹钟寄存器和时间计数器第72例 闹钟系统的显示驱动器第73例 闹钟系统的分频器第74例 闹钟系统的整体组装第75例 存储器第76例 电机转速控制器第77例 神经元计算机第78例ccAm2901四位微处理器的ALU输入第79例ccAm2901四位微处理器的ALU第80例ccAm2901四位微处理器的RAM第81例ccAm2901四位微处理器的寄存器第82例ccAm2901四位微处理器的输出与移位第83例ccAm2910四位微程序控制器中的多路选择器第84例ccAm2910四位微程序控制器中的计数器/寄存器第85例ccAm2910四位微程序控制器的指令计数器第86例ccAm2910四位微程序控制器的堆栈第87例 Am2910四位微程序控制器的指令译码器第88例 可控制计数器第89例 四位超前进位加法器第90例 实现窗口搜索算法的并行系统(1)——协同处理器第91例 实现窗口搜索算法的并行系统(2)——序列存储器第92例 实现窗口搜索算法的并行系统(3)——字符串存储器第93例 实现窗口搜索算法的并行系统(4)——顶层控制器第94例 MB86901流水线行为描述组成框架第95例 MB86901寄存器文件管理的描述第96例 MB86901内ALU的行为描述第97例 移位指令的行为描述第98例 单周期指令的描述第99例 多周期指令的描述第100例 MB86901流水线行为模型

    标签: vhdl fpga

    上传时间: 2022-05-14

    上传用户:zinuoyu

  • 单片机原理及应用 作业 —— 数码管 显示 学号

    一、 实验目的使用 51单片机的八位数码管顺序显示自己的学号。掌握 C 语言、汇编语言两种编程单片机控制程序的方法。掌握使用 Keil 4 或 Keil 5 软件编写、编译、调试程序的方法。掌握使用 Proteus 软件绘制电路原理图、硬件仿真和程序调试。二、实验设备笔记本电脑51 单片机(普中科技)八位数码管(单片机上已集成)应用程序:Proteus 8.0、Keil uVision5、stc-isp-v6.88E三、实验原理(1)数码管数码管按段数可分为七段数码管和 8 段数码管,八段数码管比七段数码管多一个发光二极管单元,也就是多一个小数点(DP),这个小数点可以更精确的表示数码管想要显示的内容。按能显示多少个(8),可分为 1 位、2位、3位、4位、5 位、6位、7 位等数码管。按发光二极管单元连接方式可分为共阳极数码管和共阴极数码管。共阳数码管是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的数码管,共阳数码管在应用时将公共极 COM 接到+5V,当某一字段发光二极管的阴极为低电平时,相应字段就点亮,当某一字段的阴极为高电平时,相应字段就不亮。共阴数码管是指将所有发光二极管的阴极接到一起形成公共阴极(COM)的数码管,共阴数码管在应用时应将公共极 COM 接到地线 GND上,当某一字段发光二极管的阳极为高电平时,相应字段就点亮,当某一字段的阳极为低电平时,相应字段就不亮。(2)51单片机单片机(Microcontrollers)是一种集成电路芯片,是采用超大规模集成电路技术把具有数据处理能力的中央处理器 CPU、随机存储器 RAM、只读存储器ROM、多种 I/O口和中断系统、定时器/计数器等功能集成到一块硅片上构成的一个小而完善的微型计算机系统,在工业控制领域广泛应用。MSC-51 单片机指以 8051为核心的单片机,由美国的 Intel 公司在 1980 年推出,80C51 是 MCS-51系列中的一个典型品种;其它厂商以 8051为基核开发出的CMOS 工艺单片机产品统称为 80C51 系列。本实验中我使用普中科技的 51 单片机来点亮八位数码管并使其显示我的学号(20198043)。四、 实验 过程(1)熟悉数码管使用 Proteus 软件构建电路图,学会如何点亮数码管,熟悉如何使数码管显示不同的数字(0-9)。我们可以按照上面的原理图让对应的段导通,以显示数字。对于共阳数码管,若显示数字 0,可以让标号为 A,B,C,D,E,F 的段导通,标号为 G,H 的段不导通,然后将阳极通入高电压,即显示数字 0。代码举例如下:最后效果如下,成功点亮一个数码管。经过更多尝试和学习,学会使多位数码管显示多位数字。结果举例如下:(2)多位数码管显示学号为了显示我们学号,就不能只使用一位数码管,需要使用八位数码管,相较于单位数码管,多位数码管更加复杂,驱动函数有很大区别。多位数码管使用同一组段选,不同的位选,因此就不能够一对一地固定显示,这就需要动态扫描。动态扫描:利用人眼视觉暂留,多位数码管每次只显示一位数字,但是切换频率大于 200HZ(50 × 4),这样就能让人产生同时显示多个数字的错觉。具体操作是轮流向数码管送字形码和相应的位选。一个完整的驱动程序不只以上这些,一个完整的数码管驱动有 6部分:1. 码表(ROM):存储段码(一般放在 ROM中,节省 RAM空间),例如数字 0的段码就是 0xC0,码表则包含 0-9的段码2. 显存(RAM):保存要显示的数字,取连续地址(便于查表)3. 段选赋值:通过查表(码表)操作,将显存映射到段码4. 位选切换:切换显示的位置5. 延时:显示的数字短暂保持,提升亮度6. 消影:消除切换时不同位置互相影响而产生的残影

    标签: 单片机 数码管

    上传时间: 2022-06-08

    上传用户:canderile

  • 高质量程序设计指南——C++/C语言

    本书作者以轻松幽默的笔调向读者论述了高质量软件开发方法与C++/C编程规范。本书共15章,重点介绍软件质量和面向对象程序设计方法,C++/C编程风格和一些技术专题等内容。第1章 高质量软件开发之道  第2章 做好程序员  第3章 编程语言发展简史  第4章 C++面向对象程序设计方法概述  第5章 文件结构  第6章 程序的版式  第7章 命名规则  第8章 表达式和基本语句  第9章 常量  第10章 函数设计  第11章 内存管理  第12章 C++函数的高级特性  第13章 类的构造、析构与赋值函数  第14章 C++ STL应用编程建议  第15章 其他编程经验  附录A C++/C试题

    标签: C++ C语言

    上传时间: 2022-06-16

    上传用户:蓝天小雨

  • JavaScript简明语法教程

    第一章简介JavaScript是弱变量类型,定义变量不需要指定类型,直接用var表示即可。JavaScript区分大小写,例如,变量a与变量A是两个不同的变量。同样函数valueof与valueof是两个不同的函数。JavaScript每行结尾的分号可有可无,如果没有分号,则一行代码为一个语句。示例如下:注释:本文中的例子都是在网页中运行,都放在如下html页面的<script>标签内部。之后的例子中会省略html的代码部分。JavaScript中括号用于代码块。代码块用花括号"和""封装。示例如下:第二章变量2.1 变量的命名规则JavaScript变量命名需要遵循以下三点:> 首字母必须是字母,下划线(-)>其他的字符可以是下划线,美元符号,任意字母或者数字>不能是关键字或者保留字或者空格2.2声明变量JavaScript中变量用"var"来声明,例如:2.3变量的值.000l11.001变量可以在声明时初始化来赋值,例如:var name ="Ann"也可以用其他变量为变量赋值,例如:var name ="Ann":var othername=name;alert(othername);使用其他变量赋值时需要注意的是基本数据类型和对象数据类型会有很大的区别。基本数据类型如字符串和数值等,在赋值时变量会复制一份独立的数据副本,比如此时修改name的值,othername的值不会发生改变。

    标签: javascript

    上传时间: 2022-06-18

    上传用户:tqsun2008

  • LWIP的底层结构

    Lwip协议栈的实现目的,无非是要上层用来实现app的socket编程。好,我们就从socket开始。为了兼容性,lwip的socket应该也是提供标准的socket接口函数,恩,没错,在src\inc lude\lwip\socket.h文件中可以看到下面的宏定义:#if LWIP COMPAT SOCKETS#define accept(a,b,c)Iwip accept(a,b,c)#define bind(a,b,c)Iwip bind(a,b,c)#define shutdown(a,b)Iwip shutdown(a,b)#define closesocket(s)Iwip close(s)好,这个结构先不管它,接着看下get socket函数的实现【也是在src\api\socket.c文件中】,在这里我们看到这样一条语句sock =&sockets[s];很明显,返回值也是这个sock它是根据传进来的序列号在sockets数组中找到对应的元素并返回该元素的地址。好了,那么这个sockets数组是在哪里被赋值了这些元素的呢?进行到这里似乎应该从标准的socket编程的开始,也就是socket函数讲起,那我们就顺便看一下。它对应的实际实现是下面这个函数Int Iwip socket(int domain,int type,int protocol)【src\api\socket.c】这个函数根据不同的协议类型,也就是函数中的type参数,创建了一个netconn结构体的指针,接着就是用这个指针作为参数调用了alloc socket函数,下面具体看下这个函数的实现

    标签: lwip 底层结构

    上传时间: 2022-06-19

    上传用户:aben

  • DSP2812永磁同步电机控制代码

    网上的资源,但是么有word形式。想免费分享,但必须有1积分。 FOC主要是通过对电机电流的控制实现对电机转矩(电流)、速度、位置的控制。通常是电流作为最内环,速度是中间环,位置作为最外环。本程序是DSP2812控制永磁同步电机高精度控制代码,根据Uref实际所在的扇区,确定Tx和Ty实际所对应的电压矢量,就可以计算出T1,T2,T3的值;然后再根据Uref所在的扇区画出类似图十三的三相PWM波形,就可以确定T1,T2,T3分别对应到三相A,B,C的哪一个通道,再赋值给对应通道的捕获比较寄存器,就完成了SVPWM算法。适合从事电机控制方面工作的研发人员作为参考学习使用。

    标签: dsp2812 永磁同步 电机控制

    上传时间: 2022-07-04

    上传用户:jimmy950583

  • VIP专区-单片机源代码精选合集系列(13)

    eeworm.com VIP专区 单片机源码系列 13资源包含以下内容:1. AVR_动态数组赋值.doc2. 糊里糊涂学STM32单片机.pdf3. 基于DS18B20的煤井多点温度测量系统研究.pdf4. 堪称一绝的“IO 口扫键”法.pdf5. 基于FM1702射频识别读写器的设计与实现.pdf6. 51单片机_遥控_温度控制系统(含源代码).wps7. f340的MP3程序,通过SD卡读入解码器.rar8. 如何让单片机端口上电复位时为低电平.docx9. MC9S12超详细中文资料.pdf10. AT89C51单片机在步进电动机控制系统中的应用.pdf11. 完整LED光立方原理图.pdf12. WFS705智能遥控小车原理图.rar13. 基于STC单片机的交通信号指示灯的设计.zip14. STH10_C编程实例.doc15. 基于AT89C51的IC卡智能水表设计.zip16. c8051f02x中文数据手册.pdf17. 基于NEC单片机的电动车充电器控制系统设计.zip18. STC12C5A60S2与PC机的交流电机闭环调速系统.pdf19. 6通道遥控器程序.rar20. HMC830评估板原理图和PCB.pdf21. 用单片机设计电子音乐门铃.rar22. USB接口波形发生器.doc23. 基于AT89C51的超声波测速系统设计.zip24. Arduino手把手入门系列教程.pdf25. 基于单片机的轿车内一氧化碳气体测控系统设计.zip26. STM32 USB Mass Storage学习资料.pdf27. 基于单片机MSP430F147的自动寻边器下位机设计.zip28. 基于超声波技术的非接触测距装置完成稿.doc29. C51单片机基础学习教程(C语言).pdf30. DZY51开发板原理图.pdf31. 一种8位单片机中ALU的改进设计.pdf32. 单片机控制蜂鸣器鸣奏音乐.doc33. 51单片机好学1602全过程C语言编程显示很全的哦.doc34. 学习单片机常用软件.zip35. RK26XX芯片应用介绍.ppt36. 单片机控制时钟芯片DS12887的时分秒定时系统设计.doc37. MAG3110三维定位模块.pdf38. 单片机的C语言中数组的用法.doc39. 电机转速表设计程序.doc40. 51单片机数码管真值表.pdf41. 74LS138与74LS161组成流水灯数电课程设计.docx42. 基于单片机的雷达数据协议转换器设计.pdf43. 《爱上Arduino》中文版.pdf44. 基于单片机的电子琴设计与实现(毕业论文).doc45. 单片机读写usb、sd卡技术参考资料.rar46. 基于51单片机的八音盒设计.docx47. 基于MCS51单片机与LabVIEW的数据采集系统.pdf48. 跟着音乐“跳舞”的光立方.doc49. 基于ISD4004芯片的语音录放系统设计论文.doc50. 51单片机8*8点阵LED显示原理及程序.doc51. 基于单片机的简单四则运算.doc52. 导盲机器人硬件结构毕业设计.doc53. 简单51单片机开发板原理图.pdf54. 使用DS18B20制作电子温度计.doc55. RF24L01例程(FLY2000-51).rar56. 基于AT89S52单片机的直流数控恒流源设计.doc57. 光立方动画程序(573和2803).zip58. 单片机系统设计的误区与对策.pdf59. KEIL RTX51实时操作系统的说明.zip60. 单片机驱动32X64点阵资料.rar61. 89C51单片机IO口模拟串行通信的实现方法.pdf62. Target Board Code(串口).zip63. 手把手教你学单片机光盘资料.rar64. 手把手教你学AVR单片机C程序设计实验程序.zip65. 基于PROTEUS的电路及单片机系统设计与仿真.pdf66. 基于单片机的音乐信号处理系统研究.pdf67. 用单片机控制手机收发短信息.pdf68. 基于AT89S51单片机的数字电子钟设计.doc69. 密码控制板原理图.pdf70. 中颖单片机SH66L12A-SH66L12B的区别.pdf71. 基于MCU新型智能励磁仪的设计与实现.zip72. HM62256详细资料.pdf73. C51单片机_Small_RTOS(51)_1.12.1v_使用手册_免费.pdf74. 自制PIC单片机烧写器.pdf75. C51的公历转农历及星期源代码.pdf76. Nuvoton NuMicro ICP Programmer 用户指南.pdf77. ATMEGA48_88_168程序移植参考.pdf78. 单片机--手机感应重力车.doc79. 心形花样LED流水灯(带程序).doc80. 基于单片机控制的LED杀虫灯研究.pdf81. PCB课设报告心电信号发生器电路板的设计.doc82. 基于T6963C驱动的经典程序(240128).pdf83. 多功能出租车计价器设计资料.doc84. 基于HT66F50的DS18B20程序.doc85. 基于无线USB技术的系统开发与应用.pdf86. 超声波测距的电路设计与单片机编程.pdf87. RE46C190_chinese.pdf88. STC15F2K60S2系列学习板-32KSRAM2.RAR89. 采用实时时钟芯片DS1302+AT89C2051的红外遥控LED电子钟.doc90. 采用stc单片机1602自制电压表的程序.zip91. AT91SAM7S64原理图.pdf92. 八路扫描式抢答器设计.doc93. keil的经典使用-单片机编程者必须会.pdf94. iTEKMSP430S开发板用户手册v1.0.pdf95. PC机与单片机通信(RS232 协议).doc96. SP200S编程器制作与使用.pdf97. 基于单片机的水温控制系统设计资料.doc98. STC89C51+ADC0809 8路模数采集 (原理图+源程序).zip99. 最新微波炉的设计.doc100. 基于单片机的简易逻辑分析仪资料.doc

    标签: MATLAB 语言 自动控制 系统设计

    上传时间: 2013-05-15

    上传用户:eeworm

  • 约瑟夫环源代码,用于解决约瑟夫问题,可以用户自己赋密码值

    约瑟夫环源代码,用于解决约瑟夫问题,可以用户自己赋密码值

    标签: 源代码 密码 用户

    上传时间: 2016-03-04

    上传用户:xfbs821

  • 输入物品的个数和背包的负重大小;程序自动为每个物品的重量和价值赋一个随机值(范围10~80)

    输入物品的个数和背包的负重大小;程序自动为每个物品的重量和价值赋一个随机值(范围10~80),输出装入背包的物品的总价值最大的值和此时的总物品大小。实现方法:1 回溯法,2 FIFO分枝限界,3 LC分枝限界;

    标签: 10 80 输入 程序

    上传时间: 2016-06-06

    上传用户:三人用菜

  • 首先应输入一个值赋给初始报数上限m

    首先应输入一个值赋给初始报数上限m,程序应能自动保存出列人的序号和将出列的人所持的密码赋给m,再次作为下次报数上限,如此循环,直至所有人都出列为止。

    标签: 输入 上限

    上传时间: 2014-01-02

    上传用户:a3318966