虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

远程端口修改工具

  • 一个简单的端口扫描程序: addstr = "发现端口" & Str(port) & "打开" & Space(5) Select Case port Case 7 plus = "ECHO服务"

    一个简单的端口扫描程序: addstr = "发现端口" & Str(port) & "打开" & Space(5) Select Case port Case 7 plus = "ECHO服务" Case 21 plus = "FTP服务★★★★★" Case 25 plus = "SMTP服务★★" Case 43 plus = "Whois服务" Case 53 plus = "DNS服务☆" Case 80 plus = "HTTP服务★★★★★" Case 81 plus = "HOSTS2名称服务" Case 99 plus = "NCX99后门★★★★★" Case 110 plus = "POP3服务★★★★★" Case 111 plus = "Sun RPC服务★★" Case 135 plus = "本地服务" Case 137 plus = "NETBIOS 名称服务/流影POP" Case 138 plus = "NETBIOS 数据存储服务" Case 139 plus = "NETBIOS 会议服务★★★" Case 119 plus = "NNTP虚拟服务" Case 163 plus = "SNMP服务" Case 443 plus = "https服务" Case 512 plus = "远程执行服务" Case 513 plus = "login, remote login" Case 514 plus = "cmd, exec with auto auth." Case 600 plus = "Sun IPC服务器" Case 1080 plus = "SOCKS代理服务★★★" Case 1433 plus = "SQL服务器★★★" Case 3128 plus = "HTTP代理服务" Case 7626 plus = "木马冰河★★★★★" Case 8080 plus = "HTTP代理服务" Case Else plus = "未知服务!"

    标签: Case port addstr Select

    上传时间: 2013-12-16

    上传用户:gtzj

  • 本程序使用MSP430F149控制IIC总线EEProm AT24C02;MCU的通用输入输出(GPIO)端口P1.2、P1.3 与AT24C02 的SCL、SDA端口相连接构成I2C总线

    本程序使用MSP430F149控制IIC总线EEProm AT24C02;MCU的通用输入输出(GPIO)端口P1.2、P1.3 与AT24C02 的SCL、SDA端口相连接构成I2C总线,因为MSP430F149 内部没有专用的I2C接口电路,所以只能用IO端口来模拟I2C时序从而实现对EEPROM的读写操作。从图 3.3 中我们可以看到EEPROM地址选择端口A0~A2 都外接低电平,所以进行I2C通信时,EEPROM的从机地址是唯一的,即A0~A2 所对应的地址控制位均为 0。 因为AT24C0X(X=1,2,4,8,16)系列芯片的管脚是兼容的,所以用户也可以自行更换其他型号的芯片,无需改动任何硬件结构,只需注意器件地址和存储空间寻址模式的变化,相应地修改软件程序即可。

    标签: 24C C02 EEProm 02

    上传时间: 2014-01-09

    上传用户:pompey

  • 能用串口修改时间的时钟程序

    能用串口修改时间的时钟程序,用串口调试助手工具调试.电路大家看程序就知道了,用S8952的单片机.

    标签: 串口 修改 时钟程序

    上传时间: 2016-09-19

    上传用户:zyt

  • linux下面的一个川口调试工具

    linux下面的一个川口调试工具,尤其适合交叉编译后用于arm平台的嵌入式linux川口调试,内含源代码和makefile,只需对makefile稍作修改即可编译成arm版本。

    标签: linux 调试工具

    上传时间: 2017-02-01

    上传用户:xsnjzljj

  • 一个用于端口监听的源码

    一个用于端口监听的源码,只要有安装Delphi直接打开皆可编辑,里面附有可执行文件只要稍加修改就可以变成自己特色的端口监听软件!

    标签: 端口 监听 源码

    上传时间: 2013-12-21

    上传用户:515414293

  • 完成端口的一个例子

    完成端口的一个例子,实现了心跳。 一个初学者最好的工具

    标签: 端口

    上传时间: 2017-08-25

    上传用户:zhouchang199

  • 多串口调试工具 ycjCom1.2.0.1

           本软件是一款MDI风格的串口调试工具,支持多个串口互调。本次发布的软件为免费版。在串口操作窗口,可以进行单条数据的收发调试。为了方便大量数据调试,软件还提供了可视数据调试功能,一个可视数据文件最多为16条数据,可以自己建立多个可视数据文件。可视数据文件发送必须有打开的串口,方能进行发送操作。本软件中的程序调试功能免费版不提供,本功能目前尚在开发中,将提供中国人风格的编程风格以及各种强大的扩充功能,以帮助开发者完成控制逻辑的测试与模拟控制的调试。        本版本仍为贝塔测试版本,欢迎广大用户提供宝贵的使用意见,我将竭力做好此软件,以为调试者做更高的服务。        本软件由MS VS2017编译,在WIN10操作系统下测试打包。言下之意是,本软件仅支持WIN10操作系统,对于其他操作系统不做保证。    [版本历史][版本历史]    1.0.0.0:纯净基本免费版,2019-2-14于21ic网站发布:http://dl.21ic.com/download/ycjcom-285907.html     1.1.0.0:广告免费版,2019-3-15于21ic网站发布:http://dl.21ic.com/download/ycjcom1-288678.html     1.1.0.1:广告植入测试移除版,2019-3-22于网友群临时提供测试使用。    1.2.0.0:广告位置重新安排版,2019-3-26于21ic网站发布。 http://dl.21ic.com/download/ycjcom1-290026.html        1.2.0.1:修改串口打开按钮只能打开9600波特率的错误,2019-3-27于21ic网站发布。 http://dl.21ic.com/download/ycjcom1-290128.html 

    标签: 串口调试 ycjcom

    上传时间: 2022-07-25

    上传用户:zhaiyawei

  • 多串口调试工具mcom.rar

    这是一个小巧而功能强大、齐全的多串口调试工具.是单片机通讯开发、工业串口 控制的最佳助手。适合运行在任何Windows平台下。绿色软件,无需任何运行库。

    标签: mcom 多串口 调试工具

    上传时间: 2013-04-24

    上传用户:bg6jsx

  • FPGA中多标准可编程IO端口的设计.rar

    现场可编程门阵列(FPGA,Field Programmable Gate Array)是可编程逻辑器件的一种,它的出现是随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC,Application Specific Integrated Circuit).芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中。现在,FPGA已广泛地运用于通信领域、消费类电子和车用电子。 本文中涉及的I/O端口模块是FPGA中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FPGA内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FPGA允许使用者通过不同编程来配置实现各种逻辑功能,在IO端口中它可以通过选择配置方式来兼容不同信号标准的I/O缓冲器电路。总体而言,可选的I/O资源的特性包括:IO标准的选择、输出驱动能力的编程控制、摆率选择、输入延迟和维持时间控制等。 本文是关于FPGA中多标准兼容可编程输入输出电路(Input/Output Block)的设计和实现,该课题是成都华微电子系统有限公司FPGA大项目中的一子项,目的为在更新的工艺水平上设计出能够兼容单端标准的I/O电路模块;同时针对以前设计的I/O模块不支持双端标准的缺点,要求新的电路模块中扩展出双端标准的部分。文中以低压双端差分标准(LVDS)为代表构建双端标准收发转换电路,与单端标准比较,LVDS具有很多优点: (1)LVDS传输的信号摆幅小,从而功耗低,一般差分线上电流不超过4mA,负载阻抗为100Ω。这一特征使它适合做并行数据传输。 (2)LVDS信号摆幅小,从而使得该结构可以在2.5V的低电压下工作。 (3)LVDS输入单端信号电压可以从0V到2.4V变化,单端信号摆幅为400mV,这样允许输入共模电压从0.2V到2.2V范围内变化,也就是说LVDS允许收发两端地电势有±1V的落差。 本文采用0.18μm1.8V/3.3V混合工艺,辅助Xilinx公司FPGA开发软件ISE,设计完成了可以用于Virtex系列各低端型号FPGA的IOB结构,它有灵活的可配置性和出色的适应能力,能支持大量的I/O标准,其中包括单端标准,也包括双端标准如LVDS等。它具有适应性的优点、可选的特性和考虑到被文件描述的硬件结构特征,这些特点可以改进和简化系统级的设计,为最终的产品设计和生产打下基础。设计中对包括20种IO标准在内的各电器参数按照用户手册描述进行仿真验证,性能参数已达到预期标准。

    标签: FPGA 标准 可编程

    上传时间: 2013-05-15

    上传用户:shawvi

  • FPGA可配置端口电路的设计.rar

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口

    上传时间: 2013-07-20

    上传用户:顶得柱