虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

辅助开发工具箱

  • 多功能车辆总线控制器的FPGA设计与开发.rar

    随着计算机网络与嵌入式控制技术的迅速发展,作为传统运输行业的铁路系统对此也有了新的要求,列车通信网络应运而生。经过多年的发展,国际电工委员会(IEC)为了规范列车通信网络,于1999年通过了IEC61375-1标准。该标准将列车通信网络分为两条总线:绞线式列车总线(WTB)和多功能车辆总线(MVB)。MVB是一个标准通信介质,为挂在其上的设备传输和交换数据。而多功能车辆总线控制器(MVBC)是MVB与MVB实际物理层之间的接口,其主要实现MVB数据链路层的功能。由于该项关键技术仍被国外公司垄断,因此开发具有自主知识产权的MVBC迫在眉睫。 鉴于上述原因,本文深入研究了IEC61375-1标准。根据MVBC的技术特点,本文提出了使用FPGA来实现其具体功能的方案。挂在MVB总线上的设备分为五类,他们的功能各不相同。而支持4类设备的MVBC具有设备状态、过程数据、消息数据通信和总线管理功能,并且兼容2类和3类设备。本文的目的就是用FPGA实现支持4类设备的MVBC。 本文采用自顶向下的设计方法。整个MVBC主要划分为:编码模块、译码模块、冗余控制模块、报文分析单元、通信存储控制器、主控制单元、地址逻辑模块。在整个开发流程中,使用Xilinx的ISE集成开发环境。使用Verilog HDL硬件描述语言对上述各个模块进行RTL级描述,并用Synplify Pro进行综合。最后,在ModelSim中对各个模块进行了布线后仿真和验证。 在实验室条件下,通过严格的仿真验证后,其结果证明了本文设计的模块达到了IEC61375-1标准的要求。因此,用FPGA实现MVBC这一方案具有可操作性。 关键词:列车通信网;多功能车辆总线;多功能车辆总线控制器;现场可编程门阵列

    标签: FPGA 多功能 总线控制器

    上传时间: 2013-07-18

    上传用户:wxhwjf

  • FPGA中多标准可编程IO端口的设计.rar

    现场可编程门阵列(FPGA,Field Programmable Gate Array)是可编程逻辑器件的一种,它的出现是随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC,Application Specific Integrated Circuit).芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中。现在,FPGA已广泛地运用于通信领域、消费类电子和车用电子。 本文中涉及的I/O端口模块是FPGA中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FPGA内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FPGA允许使用者通过不同编程来配置实现各种逻辑功能,在IO端口中它可以通过选择配置方式来兼容不同信号标准的I/O缓冲器电路。总体而言,可选的I/O资源的特性包括:IO标准的选择、输出驱动能力的编程控制、摆率选择、输入延迟和维持时间控制等。 本文是关于FPGA中多标准兼容可编程输入输出电路(Input/Output Block)的设计和实现,该课题是成都华微电子系统有限公司FPGA大项目中的一子项,目的为在更新的工艺水平上设计出能够兼容单端标准的I/O电路模块;同时针对以前设计的I/O模块不支持双端标准的缺点,要求新的电路模块中扩展出双端标准的部分。文中以低压双端差分标准(LVDS)为代表构建双端标准收发转换电路,与单端标准比较,LVDS具有很多优点: (1)LVDS传输的信号摆幅小,从而功耗低,一般差分线上电流不超过4mA,负载阻抗为100Ω。这一特征使它适合做并行数据传输。 (2)LVDS信号摆幅小,从而使得该结构可以在2.5V的低电压下工作。 (3)LVDS输入单端信号电压可以从0V到2.4V变化,单端信号摆幅为400mV,这样允许输入共模电压从0.2V到2.2V范围内变化,也就是说LVDS允许收发两端地电势有±1V的落差。 本文采用0.18μm1.8V/3.3V混合工艺,辅助Xilinx公司FPGA开发软件ISE,设计完成了可以用于Virtex系列各低端型号FPGA的IOB结构,它有灵活的可配置性和出色的适应能力,能支持大量的I/O标准,其中包括单端标准,也包括双端标准如LVDS等。它具有适应性的优点、可选的特性和考虑到被文件描述的硬件结构特征,这些特点可以改进和简化系统级的设计,为最终的产品设计和生产打下基础。设计中对包括20种IO标准在内的各电器参数按照用户手册描述进行仿真验证,性能参数已达到预期标准。

    标签: FPGA 标准 可编程

    上传时间: 2013-05-15

    上传用户:shawvi

  • OFDM系统同步及解调的FPGA实现.rar

    自20世纪80年代以来,正交频分复用技术不但在广播式数字音频和视频领域得到广泛的应用,而且已经成为无线局域网标准(例如IEEE802.11a和HiperLAN/2等)的一部分。OFDM由于其频谱利用率高,成本低等原因越来越受到人们的关注。随着人们对通信数据化、宽带化、个人化和移动化需求的增强,OFDM技术在综合无线接入领域将会获得越来越广泛的应用。人们开始集中越来越多的精力开发OFDM技术在移动通信领域的应用,本文也是基于无线通信平台上的OFDM技术的运用。 本文的所有内容都是建立在空地数据无线通信系统下行链路FPGA实现基础上的。本文作者的主要工作集中在链路接收端的FPGA实现和调试上。主要包括帧同步(时间同步)算法的研究与设计、OFDM频率同步算法的研究与设计以及同步模块、OFDM解调模块、QAM解调模块的FPGA实现。最终实现高速数字图像传输系统下行链路在无线环境中连通。 对于无线移动通信系统而言,多普勒频移、收发设备的本地载频偏差均可能破坏OFDM系统子载波之间的正交性,从而导致ICI,影响系统性能。另外,由于OFDM系统大多采用IFFT/FFT实现调制解调,因此在接收方确定FFT的起点对数据的正确解调也至关重要。同步技术即是针对系统中存在的定时偏差、频率偏差进行定时、频偏的估计与补偿,来减少各种同步偏差对系统性能的影响。在OFDM实现的关键技术中,同步技术是十分重要的一部分。本文花费了三个章节阐述了同步技术的原理、算法和实现方法。 目前OFDM系统的载波同步方案,可以归纳为三大类:辅助数据类,盲估计类和基于循环前缀的半盲估计类。本文首先分析了各种载波同步方案的优缺点,并举例说明了各个载波同步方式的实现方法。然后具体阐述了本文在FPGA平台上实现的OFDM接收端同步的同步方式,包括其具体算法和FPGA实现结构。本文所采用的帧同步和频率同步方案都是采用辅助数据类的,在阐述其具体算法的同时对算法在不同参数和不同形式下的性能做出了仿真对比分析。 OFDM的解调采用FFT算法,在FPGA上的实现是十分方便的。本文主要阐述其实现结构,重点放在提取有效数据部分有效数据位置的推导过程。最后介绍了本文实现QAM软解调的解调方法。 本文阐述算法采用先提出原理,然后给出具体公式,再根据公式中的系数和变量分析算法性能的方式。在阐述实现方式时首先给出实现框图,然后对框图中比较重要或者复杂的部分进行详细阐述。在介绍完每个模块实现方式之后给出了仿真或者上板结果,最后再给出整体测试结果。

    标签: OFDM FPGA

    上传时间: 2013-06-26

    上传用户:希酱大魔王

  • 基于以太网的数据采集系统在FPGA上实现.rar

    随着计算机和自动化测量技术的日益发展,测量仪器和计算机的关系日益密切。计算机的很多成果很快就应用到测量和仪器领域,与计算机相结合已经成为测量仪器和自动测试系统发展的必然趋势。高度集成的现场可编程门阵列(FPGA)是超大规模集成电路和计算机辅助设计技术发展的结果,由于FPGA器件具备集成度高、体积小、可以利用基于计算机的开发平台,用编写软件的方法来实现专门硬件的功能等优点,大大推动了数字系统设计的单片化、自动化,缩短了单片数字系统的设计周期、提高了设计的灵活性和可靠性。 本文研究基于网络的高速数据采集系统的设计与实现问题。论文完成了以FPGA结构为系统硬件平台,uClinux为核心的系统的软件平台设计,进行信号的采集和远程网络监测的功能。 论文从软硬件两方面入手,阐述了基于FPGA器件进行数据采集的硬件系统设计方法,以及基于uClinux操作系统的设备驱动程序设计和应用程序设计。 硬件方面,FPGA采用Xilinx公司Spartan系列的XC3S500芯片,用verilog HDL硬件描述语言在Xilinx公司提供的ISE辅助设计软件中实现FPGA编程。将微处理器MicroBlaze、数据存储器、程序存储器、以太网控制器、数模转换控制器等数字逻辑电路通过CoreConnect技术用OPB总线集成在同一个FPGA内部,形成一个可编程的片上系统(SOPC)。采用基于FPGA的SOPC设计的突出优点是不必更换芯片就可以实现设计的改进和升级,同时也可以降低成本和提高可靠性。 软件方面,为了更好更有效地管理和拓展系统功能,移植了uClinux到MicroBlaze软处理器上,设计实现了平台上的ADC设备驱动程序和数据采集应用程序。并通过修订内核,实现了利用以太网TCP/IP协议来访问数据采集程序获得的数据。

    标签: FPGA 以太网 数据采集系统

    上传时间: 2013-05-23

    上传用户:晴天666

  • 基于FPGA的小型CPU中通信协议的研究及IPCore的开发.rar

    FPGA作为新一代集成电路的出现,引起了数字电路设计的巨大变革。随着FPGA工艺的不断更新与改善,越来越多的用户与设计公司开始使用FPGA进行系统开发,因此,PFAG的市场需求也越来越高,从而使得FPGA的集成电路板的工艺发展也越来越先进,在如此良性循环下,不久的将来,FPGA可以主领集成电路设计领域。正是由于FPGA有着如此巨大的发展前景与市场吸引力,因此,本文采用FPGA作为电路设计的首选。 @@ 随着FPGA的开发技术日趋简单化、软件化,从面向硬件语言的VHDL、VerilogHDL设计语言,到现在面向对象的System Verilog、SystemC设计语言,硬件设计语言开始向高级语言发展。作为一个软件设计人员,会很容易接受面向对象的语言。现在软件的设计中,算法处理的瓶颈就是速度的问题,如果采用专用的硬件电路,可以解决这个问题,本文在第一章第二节详细介绍了软硬结合的开发优势。另外,在第一章中还介绍了知识产权核心(IP Core)的发展与前景,特别是IP Core中软核的设计与开发,许多FGPA的开发公司开始争夺软核的开发市场。 @@ 数字电路设计中最长遇到的就是通信的问题,而每一种通信方式都有自己的协议规范。在CPU的设计中,由于需要高速的处理速度,因此其内部都是用并行总线进行通信,但是由于集成电路资源的问题,不可能所有的外部设备都要用并行总线进行通信,因此其外部通信就需要进行串行传输。又因为需要连接的外部设备的不同,因此就需要使用不同的串行通信接口。本文主要介绍了小型CPU中常用的三种通信协议,那就是SPI、I2C、UART。除了分别论述了各自的通信原理外,本文还特别介绍了一个小型CPU的内部构造,以及这三个通信协议在CPU中所处的位置。 @@ 在硬件的设计开发中,由于集成电路本身的特殊性,其开发流程也相对的复杂。本文由于篇幅的问题,只对总的开发流程作了简要的介绍,并且将其中最复杂但是又很重要的静态时序分析进行了详细的论述。在通信协议的开发中,需要注意接口的设计、时序的分析、验证环境的搭建等,因此,本文以SPI数据通信协议的设计作为一个开发范例,从协议功能的研究到最后的验证测试,将FPGA 的开发流程与关键技术等以实例的方式进行了详细的论述。在SPI通信协议的开发中,不仅对协议进行了详细的功能分析,而且对架构中的每个模块的设计都进行了详细的论述。@@关键词:FPGA;SPI;I2C;UART;静态时序分析;验证环境

    标签: IPCore FPGA CPU

    上传时间: 2013-04-24

    上传用户:vvbvvb123

  • 基于FPGA的通用实时信号处理系统的硬件设计与实现.rar

    近年来,以FPGA为代表的数字系统现场集成技术取得了快速的发展,FPGA不但解决了信号处理系统小型化、低功耗、高可靠性等问题,而且基于大规模FPGA单片系统的片上可编程系统(SOPC)的灵活设计方式使其越来越多的取代ASIC的市场。传统的通用信号处理系统使用DSP作为处理核心,系统的可重构型不强,FPGA解决了这一问题,并且现有的FPGA中,多数已集成DSP模块,结合FPGA较强的信号并行处理特性使其与DSP信号处理能力差距很小。因此,FPGA作为处理核心的通用信号处理系统具有很强的可实施性。 @@ 基于上述要求,作者设计和完成了一个基于多FPGA的通用实时信号处理系统。该系统采用4片XC3SD1800A作为处理核心,使用DDR2 SDRAM高速存储实时数据。作者通过全面的分析,设计了核心板、底板和应用板分离系统架构。该平台能够根据实际需求进行灵活的搭配,核心板之间的数据传输采用了LVDS(低电压差分信号)技术,从而使得数据能够稳定的以非常高的速率进行传输。 @@ 本系统属于高速数字电路的设计范畴,因此必须重视信号完整性的设计与分析问题,作者根据高速电路的设计惯例和软件辅助设计的方法,在分析和论证了阻抗控制、PCB堆叠、PCB布局布线等约束的基础上,顺利地完成了PCB绘制与调试工作。 @@ 作为系统设计的重要环节,作者还在文中研究了在系统设计过程中出现的电源完整性问题,并给出了解决办法。 @@ LVDS高速数据通道接口和DDR2存储器接口设计决定本系统的使用性能,本文基于所选的FPGA芯片进行了详细的阐述和验证。并结合系统的核心板和底板,完成了应用板,视频图像采集、USB、音频、LCD和LED矩阵模块显示等接口的设计工作,对其中的部分接口进行了逻辑验证。 @@ 经过测试,该通用的信号处理平台具有实时性好、通用性强、可扩展和可重构等特点,能够满足当前一些信号处理系统对高速、实时处理的要求,可以广泛应用于实时信号处理领域。通过本平台的研究和开发工作,为进一步研究和设计通用、实时信号处理系统打下了坚实的基础。 @@关键词:通用实时信号处理;FPGA;信号完整性;DDR2;LVDS

    标签: FPGA 实时信号 处理系统

    上传时间: 2013-05-27

    上传用户:qiaoyue

  • 用FPGA实现直接序列扩频通信.rar

    扩频通信,即扩展频谱通信技术(Spread Spectrum Communication),它与光纤通信、卫星通信一同被誉为进入信息时代的三大高技术通信传输方式。 扩频通信是将待传送的信息数据用伪随机编码序列,也即扩频序列(SpreadSequence)调制,实现频谱扩展后再进行传输。接收端则采用相同的编码进行解调及相关处理,恢复出原始信息数据。 扩频通信系统与常规的通信系统相比,具有很强的抗人为干扰,抗窄带干扰,抗多径干扰的能力,并具有信息隐蔽、多址保密通信等特点。 现场可编辑门阵列FPGA(Field Programmable Gate Array)提供了极强的灵活性,可让设计者开发出满足多种标准的产品。FPGA所固有的灵活性和性能也可让设计者紧跟新标准的变化,并能提供可行的方法来满足不断变化的标准要求。 EDA 工具的出现使用户在对FPGA设计的输入、综合、仿真时非常方便。EDA打破了软硬件之间最后的屏障,使软硬件工程师们有了真正的共同语言,使目前一切仍处于计算机辅助设计(CAD)和规划的电子设计活动产生了实在的设计实体论文对扩频通信系统和FPGA设计方法进行了相关研究,并且用Altera公司的最新的FPGA开发平台QuartusII实现了一个基带扩频通信系统的发送端部分,最后用软件Protel99SE设计了相应的硬件电路。 该系统的设计主要分为两个部分。第一部分是用QuartusII软件设计了系统的VHDL语言描述代码,并对系统中每个模块和整个系统进行相应的功能仿真和时序时延仿真;第二部分是设计了以FPGA芯片EP1C3T144C8N为核心的系统硬件电路,并进行了相关测试,完成了预定的功能。

    标签: FPGA 直接序列 扩频通信

    上传时间: 2013-07-26

    上传用户:15679277906

  • 基于USB2.0的FPGA配置接口及实验开发评估板设计与实现.rar

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: FPGA USB 2.0

    上传时间: 2013-04-24

    上传用户:lingduhanya

  • 基于FPGA的PWM发生器的研究与设计.rar

    PWM(脉冲宽度调制)是一种利用数字信号来控制模拟电路的控制技术,广泛应用于电源、电机、伺服系统、通信系统、电子控制器、功率控制等电力电子设备。PWM技术在逆变电路中的应用最为广泛,也是变频技术的核心,同时在机床,液压位置控制系统等机械装置中也发挥着重要的作用。PWM技术已经成为控制领域的一个热点,因此研究PWM发生器对于基础理论的发展和技术的改进都有十分重要的意义。 论文研究的主要内容是用任意波形作为调制信号通过特定的方法来产生所需要的PWM波形,任意波形的合成和PWM波形的生成是两个主要任务。任意波形的合成是课题设计的一个难点,也是影响系统性能的关键因素之一。论文中波形合成采用直接数字频率合成(DDS)技术来实现。DDS技术以相位为地址,通过查找离散幅度数据进行波形合成,具有输出波形相位变化连续、分辨率高、频率转换速率快的优点,而且通过设置控制字可灵活方便地改变输出频率,是目前波形合成的主流方法。 实现PWM发生器的设计方法有多种。在综合比较了单片机、DSP、ARM等常用开发工具特点的基础上,本文提出了一种以可编程逻辑器件(PLD)为主体,单片机辅助配合的设计方法。随着计算机技术和微电了技术的迅速发展,可编程逻辑器件的集成度和容量越来越大,基于PLD的设计方法正逐步成为一种主流于段,是近些年来电子系统设计的一个热点。整个系统分为模拟波形产生、单片机控制电路、FPGA内部功能模块三大部分。FPGA部分的设计是以Altera公司的Quartus Ⅱ软件为开发平台,采用VHDL语言为主要输入手段来完成内部各功能模块的设计输入、编译、仿真等调试工作,目标载体选用性价比比较高的Altera公司的CycloneⅡ系列的器件;单片机控制电路主要负责控制字的设置和显示,波形数据的接受与发送;用MATLAB软件完成仟意波形的绘制和模拟任务。 论文共分五章,详细介绍了课题的背景、PWM发生器的发展和应用以及选题的目的和意义等,论述了系统设计方案的可行性,对外围电路和FPAG内部功能模块的设计方法进行了具体说明,并对仿真结果、系统的性能、存在的问题和改进方法等进行了分析和阐述。整个设计满足PWM发生器的任务和功能要求,设计方法可行。

    标签: FPGA PWM 发生器

    上传时间: 2013-04-24

    上传用户:ommshaggar

  • 基于FPGA的PCI数据采集卡的研究与开发.rar

    随着信息技术和电子技术的进步和日益成熟,计算机数据采集技术得到了广泛应用。由于ISA数据采集卡的固有缺陷,PCI接口的数据采集卡将逐渐取代ISA数据采集卡,成为数据采集的主流。为了简化PCI数据采集卡结构,提高数据采集可靠性,本文研究并开发了一种基于FPGA的PCI结构的数据采集卡系统。 论文对PCI对目标设备数据采集卡实现的原理和方法进行了深入研究,设计了基于FPGA的PCI数据采集卡的硬件电路,通过在FPGA中嵌入了PCI目标设备的IP核与用户逻辑部分,构成了SOPC系统。使用Verilog硬件描述语言设计并实现了FPGA内部采集数据管理、数据管理寄存器和FIFO数据缓冲队列等模块电路。利用ModelSim对PCI系统进行了仿真。完成了系统硬件电路PCB板的设计,最终制作了PCI数据采集卡。 论文针对PCI结构的数据采集卡系统软件需求,研究了WDM设备驱动软件、Windows环境的简易虚拟示波器以及简易虚拟逻辑仪实现原理和方法。利用DriverStudio+Windows DDK for XP+VC6的软件平台,开发了WDM设备驱动程序。实现了Windows环境的简易虚拟示波器,和简易虚拟逻辑仪。系统测试结果表明该系统设计正确,系统运行稳定,功能和指标达到了设计要求。

    标签: FPGA PCI 数据采集卡

    上传时间: 2013-07-27

    上传用户:yzy6007