虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

载波线路调制

  • 基于FPGA的直扩调制解调器的设计与实现.rar

    扩频通信系统与常规的通信系统相比,具有很强的抗窄带干扰,抗多径干扰,抗人为干扰的能力,并具有信息隐蔽、多址保密通信等优点。在近年来得到了迅速的发展。本论文主要讨论和实现了基于FPGA的直接序列扩频信号的解扩解调处理。论文对该直扩通信系统和FPGA设计方法进行了相关研究,最后用Altera公司的最新的FPGA开发平台Quarus Ⅱ5.0实现了相关设计。 整个系统分为两个部分,发送部分和接收部分。发送部分主要有串并转换、差分卷积编码、PN码扩频、QPSK调制、成型滤波等模块。接收部分主要有前端抗干扰、数字下变频、解扩解调等模块。 论文首先介绍了扩频通信系统的特点以及相关技术的国内外发展现状,并介绍了本论文的研究思路和内容。 然后,论文分析了几种常用的窄带干扰抑制、载波同步及PN码同步算法,结合实际需要,设计了一种零中频DSSS解调解扩方案。给出了抗窄带干扰、PN码捕获及跟踪以及载波同步的算法分析,采用了基于数字外差调制的自适应陷波器来进行前端窄带干扰抑制处理,用基于自适应门限技术的滑动相关捕获和分时复用单相关器跟踪来改善PN码同步的性能,用基于硬判决的COSTAS(科斯塔斯)环来减少载波提取的算法复杂度,用改进型CORDIC算法实现NCO来方便的进行扩展。 接着,论文给出了系统总体设计和发送及接受子系统的各个功能模块的实现分析以及在Quartus Ⅱ5.0上的实现细节,给出了仿真结果。 然后论文介绍了整个系统的硬件电路设计和它在真实系统中连机调试所得到的测试结果,结果表明该系统具有性能稳定,灵活性好,生产调试容易,体积小,便于升级等特点并且达到课题各项指标的要求。 最后是对论文工作的一些总结和对今后工作的展望。

    标签: FPGA 调制解调器

    上传时间: 2013-05-23

    上传用户:磊子226

  • 基于FPGA的QAM调制解调技术研究.rar

    众所周知,信息传输的核心问题是有效性和可靠性,调制解调技术的发展正是体现了这一思想。从最早的模拟调幅调频技术的日益完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠。QAM调制作为一种新的调制技术,因其具有很高的频带利用率而得到了广泛的应用。 本文对基于FPGA的16QAM调制解调进行了讨论和研究。首先对16QAM调制解调原理进行了阐述,建立了16QAM调制解调系统的数学模型,然后通过分析提出了基于FPGA的16QAM调制解调系统的设计方案。最后编写Verilog代码实现了算法仿真。 FPGA芯片采用的是Altera公司的大规模集成电路芯片Cyclone系列的EPlC20F32417,并通过软件编程对其进行了相关调试。文中详细介绍了基带成形滤波器、载波恢复和定时同步的基本原理及其设计方法。首先用Matlab对整个16QAM系统进行了软件仿真;然后用硬件描述语言Verilog HDL在QuartusⅡ环境下完成了系统关键算法的编写、行为仿真和综合,最后详细阐述了异步串口(UART)的FPGA实现,把我们编写的Verilog程序下载到EPlC20F32417芯片上效果很好。

    标签: FPGA QAM 调制解调

    上传时间: 2013-04-24

    上传用户:talenthn

  • 基于FPGA的OQPSK调制解调器设计与实现.rar

    偏移正交相移键控(OQPSK:Offset Quadrature Phase Shift Keying)调制技术是一种恒包络调制技术,具有频谱利用率高、频谱特性好等特点,广泛应用于卫星通信和移动通信领域。 论文以某型侦收设备中OQPSK解调器的全数字化为研究背景,设计并实现了基于FPGA的全数字OQPSK调制解调器,其中调制器主要用于仿真未知信号,作为测试信号源。论文研究了全数字OQPSK调制解调的基本算法,包括成形滤波器、NCO模型、载波恢复、定时恢复等;完成了整个调制解调算法的MATLAB仿真。在此基础上,采用VHDL硬件描述语言在Xilinx公司ISE7.1开发环境下设计并实现了各个算法模块,并在硬件平台上加以实现。通过实际现场测试,实现了对所侦收信号的正确解调。论文还实现了解调器的百兆以太网接口,使得系统可以方便地将解调数据发送给计算机进行后续处理。

    标签: OQPSK FPGA 调制

    上传时间: 2013-06-30

    上传用户:Miyuki

  • ON产品的资料

    应用于绿色电子产品的首要高性能、高能效硅方案供应商安森美半导体(ON Semiconductor)推出一款新的线路驱动器件NCS5650,用于智能电表、工业控制和街道照明等电力线载波(PLC)通信应用。NCS5650是一款高能效、低失真的AB类驱动器,专门用于驱动电力线路主电源(mains),能够接受源自任何PLC调制解调器的信号。

    标签:

    上传时间: 2013-04-24

    上传用户:唐僧他不信佛

  • 基于FPGA的DQPSK调制解调器研究与设计

    本课题对DQPSK调制解调技术的FPGA实现进行了比较全面的研究,利用DQPSK调制技术实现了码速200Kbps的调制器。调制载频3.2MHz、带宽180KHz、带外抑制大于45dB,调制器设计达到预定要求。解调器硬件完成,软件未全部实现,但完成了CIC滤波器、载波跟踪环、位定时同步、并串转换等几个关键模块的设计。对解调器做了实验测试,验证了相关模块设计的正确性,解调器中重要的载波同步功能已能实现。 在本文中,主要介绍了DQPSK调制解调技术的FPGA实现。着重对差分编解码、成形滤波器、Costas载波跟踪环以及CIC滤波器进行了详细叙述,对硬件设计则做了简要的说明,给出了主要电路图和实物图。 在重要设计环节上,文中进行了比较细致的Matlab仿真及System View仿真,并给出了相关分析与说明。最后,采用VHDL 硬件描述语言对系统进行了设计与实现。文中对位定时同步以及CIC滤波器的可变速设计做了创新与改进。

    标签: DQPSK FPGA 调制解调器

    上传时间: 2013-05-22

    上传用户:michael52

  • 基于FPGA的DMBT信道调制的设计研究

    随着科技的发展和社会的进步,数字电视已逐渐成为现代电视的主流。利用今年是奥运年的契机,研究和推广数字电视广播具有重大的意义。2006年8月底我国出台的数字多媒体/电视广播(DMB-T)标准,确立了中国自己的技术标准。以此来发展拥有自主知识产权的数字电视事业,不仅可以满足广大人民群众日益增长的物质、文化要求,还可以带动相关产业快速发展。 本课题在深入研究DMB-T国家标准的基础上,首先对系统的调制系统进行了设计规划,然后对信道调制的星座映射、系统信息插入、帧体数据处理、PN序列插入的帧形成模块和成形滤波模块进行了设计和仿真,并验证了其正确性。 3780个子载波的时域同步正交多载波技术(TDS-OFDM)是DMB-T调制系统的关键技术之一。由于载波数不是2的整数次幂,考虑到实现的有效性,不能采用现已成熟的基-2或基-4的快速傅立叶变换(FFT)算法。针对调制系统中特有的3780点IFFT,课题深入分析和比较了Cooley-Tukey、Winograd和素因子三种离散快速傅立叶变换算法的特点和性能,综合利用了三种算法优势,考虑了算法的复杂度、运算的速度、资源的消耗,设计出一种新的算法,进行了Matlab验证和基于FPGA(现场可编程门阵列)的仿真。分析表明,该算法所需的加法、乘法次数已很逼近4096点FFT算法。 DMB-T发射端的基带成形滤波采用了平方根升余弦滚降滤波,由于其0.05的滚降系数在实现中比较苛刻,所以是设计的难点之一。本课题利用Matlab工具采用了等纹波最优滤波的方法设计了169阶数字滤波器,其阻带衰减达到了46.9dB,完全符合标准的要求;利用四倍插值的方法实现了I、Q合路的该滤波器的FPGA设计,并进行了设计优化,显著降低了滤波器的运算量,大大节约了实现该滤波器所需的乘法器资源。

    标签: FPGA DMBT 信道 调制

    上传时间: 2013-06-28

    上传用户:camelcamel690

  • 基于FPGA的π4DQPSK调制解调技术

    本文的设计采用FPGA来实现π/4DQPSK调制解调。采用π/4DQPSK的调制解调方式是基于频带利用率、误比特率(即抗噪性)和实现复杂性等综合因素的考虑;采用FPGA进行实现是考虑到高速的数据处理以及AD和DA的高速采样。 本课题主要包含以下几个方面的研究: 首先对π/4DQPSK技术的应用发展情况做简单介绍,并对其调制解调原理进行了详细的阐述。在理解原理的基础上,将调制解调进行模块化划分,提出了实现的思路和方法。其中包括串并转换,差分相位编码,内插,成形滤波器,正交调制,带通滤波器及希尔伯特变换,解调,位同步,载波同步,差分相位解码。 其次在FPGA上实现了π/4DQPSK的大部分模块。其中调制端的各个模块的功能都已经实现,并综合在一起,下载到开发板上进行了在线仿真。其中成形滤波器的设计大大降低了FPGA的资源开销,是本次设计的创新;解调端对载波同步和位同步提出了设计思路,具体的实现还需要进一步的研究;接口电路的测试和在线仿真已经完成。 最后提出了硬件实现的方案以及三种芯片的选型与设计,给出了简要的电路图和时序图。

    标签: 4DQPSK FPGA 调制 解调技术

    上传时间: 2013-08-03

    上传用户:fzy309228829

  • 基于FPGA的OQPSK调制解调器

    偏移正交相移键控(OQPSK:Offset Quadrature Phase Shift Keying)调制技术是一种恒包络调制技术,具有频谱利用率高、频谱特性好等特点,广泛应用于卫星通信和移动通信领域。 论文以某型侦收设备中OQPSK解调器的全数字化为研究背景,设计并实现了基于FPGA的全数字OQPSK调制解调器,其中调制器主要用于仿真未知信号,作为测试信号源。论文研究了全数字OQPSK调制解调的基本算法,包括成形滤波器、NCO模型、载波恢复、定时恢复等;完成了整个调制解调算法的MATLAB仿真。在此基础上,采用VHDL硬件描述语言在Xilinx公司ISE7.1开发环境下设计并实现了各个算法模块,并在硬件平台上加以实现。通过实际现场测试,实现了对所侦收信号的正确解调。论文还实现了解调器的百兆以太网接口,使得系统可以方便地将解调数据发送给计算机进行后续处理。

    标签: OQPSK FPGA 调制解调器

    上传时间: 2013-05-19

    上传用户:zl123!@#

  • 基于FPGA的QAM调制解调技术研究

    众所周知,信息传输的核心问题是有效性和可靠性,调制解调技术的发展正是体现了这一思想。从最早的模拟调幅调频技术的日益完善,到现在数字调制技术的广泛运用,使得信息的传输更为有效和可靠。QAM调制作为一种新的调制技术,因其具有很高的频带利用率而得到了广泛的应用。 本文对基于FPGA的16QAM调制解调进行了讨论和研究。首先对16QAM调制解调原理进行了阐述,建立了16QAM调制解调系统的数学模型,然后通过分析提出了基于FPGA的16QAM调制解调系统的设计方案。最后编写Verilog代码实现了算法仿真。 FPGA芯片采用的是Altera公司的大规模集成电路芯片Cyclone系列的EPlC20F32417,并通过软件编程对其进行了相关调试。文中详细介绍了基带成形滤波器、载波恢复和定时同步的基本原理及其设计方法。首先用Matlab对整个16QAM系统进行了软件仿真;然后用硬件描述语言Verilog HDL在QuartusⅡ环境下完成了系统关键算法的编写、行为仿真和综合,最后详细阐述了异步串口(UART)的FPGA实现,把我们编写的Verilog程序下载到EPlC20F32417芯片上效果很好。

    标签: FPGA QAM 调制解调 技术研究

    上传时间: 2013-06-12

    上传用户:q123321

  • 数字音频广播中OFDM调制的研究与实现

    正交频分复用(OFDM)是一种无线环境下的高速传输技术,它使用一系列低速子载波并行传输数据,具有抗多径干扰的能力、能以很高的频谱利用率实现高速数据传输等优点。数字音频广播(DAB)系统中采用OFDM调制技术。 本文首先概述了OF'DM的基本原理和实现方法,分析了DAB中不同模式下OFDM调制的参数和特点。实现OFDM的核心技术是快速傅立叶变换(FFT)。本文在分析研究了多种FFT算法的基础上选择了最适合FPGA实现的,满足DAB系统中OFDM调制要求的FFT算法,即将2048点FFT分解为基-4和基-2混合基算法。 本文研究重点是使用FPGA实现2048点复数FFT处理器。2048点FFT由五级基-4运算和一级基-2运算组成。针对这一算法以及FPGA特点,进行系统结构设计、各个模块设计、FPGA实现和测试。一个基-4和基-2复用的蝶形运算模块是整个FFT处理器的核心部分。此外系统还包括:系统控制模块,地址产生模块,RAM和ROM。本文特别针对2048点按频率抽取基-4/2顺序处理的FFT处理器提出了一种巧妙的数据地址和旋转因子地址生成的方法。 仿真和验证表明,运算的结果可以达到一定的精度要求,运算速度满足系统要求,说明该OFDM调制器的设计是可行的,可以应用于DAB系统中

    标签: OFDM 数字音频广播 调制

    上传时间: 2013-06-05

    上传用户:star_in_rain