虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

载波线路调制

  • CCK基带调制解调技术的研究实现

    本文重点研究的是补偿编码键控(CCK)的调制与解调算法原理,以及基于FPGA进行的系统设计实现。作为IEEE802.11b标准中关键的调制技术,CCK码具有良好的相关特性,能够在高速率传输数据的同时有效的克服多径效应。本文首先对WLAN的结构和特点进行了简单介绍,对其中的IEEE802.11b标准进行了研究,并着重分析了其物理层基带部分的结构和规范。然后系统的介绍了CCK码的特点,重点对11Mb/s模式下基于“基本CCK码字集”的CCK调制原理和基于快速沃尔什变换(FWT)块的CCK解调原理进行了分析讨论。接下来通过在Matlab中对调制和解调方案的仿真,得到了正确的理论数据,并验证了系统设计的可行性。最后在Xilinx公司的ISE6.2开发环境下,使用硬件描述语言Verilog HDL对CCK调制和解调系统在FPGA中进行了设计,然后将整个系统在ModelSim中进行了功能仿真。理论分析和仿真结果的比较表明系统设计是正确的,而且系统性能良好。 本文所设计的基于FPGA的CCK调制和解调系统具有集成度高、稳定性强和能够在线软件更新等特点。研究成果可以给将来设计更高性能、更高集成度的基带WLAN芯片提供基础。

    标签: CCK 基带 调制 解调技术

    上传时间: 2013-06-02

    上传用户:yoleeson

  • 基于MATLAB 7.0的信号调制与解调分析

    基于MATLAB 7.0的信号调制与解调分析

    标签: MATLAB 7.0 信号 调制与解调

    上传时间: 2013-07-21

    上传用户:user08x

  • 基于FPGA的扩频模拟信号源的设计

    信号发生器是控制系统的重要组成部分。研制出较高精度、可靠性、可调参数的数字量信号发生器,对于促进我国航空、航天、国防以及工业自动化等领域的发展均有重要意义。本文以直接频率合成和伪随机码的设计与实现为中心,对扩频通信的基本理论、信号源的结构、载波调制等问题进行了深入的分析和研究,并给出了模块的硬件实现方案。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。论文介绍了FPGA技术的发展和应用,包括VHDL语言的基本语法结构和FPGA器件的开发设计流程等等。详细地分析了各类频率合成器的基础上提出采用直接数字式频率合成原理(DDS)实现低相位噪声、高分辨率、高精度和高稳定度的信号源。研究了测距伪随机码的原理,确定选用移位序列作为系统的扩频码序列,并选取了符合本系统使用的移位序列扩频码。分别给出并分析了相应的FPGA硬件实现电路。 对于载波调制这一关键技术,提出了采用二进制相移键控相位选择法并相应作了硬件实现。最后给出具体设计实现了的信号发生器的输出波形。经实验室测试,设计的信号发生器满足要求,且结构简单、工作可靠、重量轻、体积小,具有良好的应用前景。

    标签: FPGA 扩频 模拟信号源

    上传时间: 2013-04-24

    上传用户:qweqweqwe

  • 基于FPGA的短波数字信号调制解调

    在卫星通信、移动通信技术快速发展的今天,短波这一最古老和传统的通信方式不仅没有被淘汰,还在快速发展。其通信距离远、设备简单以及移动方便等优点被广泛应用于无线通信领域。 数字调制技术作为通信领域中极为重要的一个方面,也得到了迅速发展。全数字调制解调技术的使用使各类现代调制解调技术融合一体,目前国内多速率/多制式调制解调大多基于通用.DSP实现,支持的速率比较低。由于运算量大和硬件参数的限制,采用通用DSP无法胜任高速率调制解调的任务。现代FPGA可以提供支持以低系统丌销、低成本实现高速乘.累加超前进位链的DSP算法。本文采用理论与实践相结合的方式研究基于FPGA技术来实现短波数字信号的调制解调。通过对具体的FPGA系统设计与调试,将理论应用到实际中。 本文通过具体的EPlC60240C8芯片作为处理器的FPGA实验板,研究了短波数字信号调制解调的设计与丌发过程。分析了现代通信的各种调制方式.误码率。得出了不同的调制方式的优劣性。最后重点提出了QPSK的调制解调方法。给出了Qf'SK的调制解调框图、QPSK的SystemView系统仿真、VHDL程序进行调制解调,在OUARTUS上进行仿真。然后设计AD/DA输入输出电路,对短波数字信号进行调制解调。通过设计的AD/DA电路输入短波数字信号进行调制解调,然后输出原始的模拟信号。文中还对比了其他的调制解调方式,通过对比,发现不同的调制解调方式对短波信号的影响。最后,通过比较FPGA与DSP在处理高速率、大容量的数字信号,得出不同的结论。展示了FPGA在这方面的优越性。

    标签: FPGA 短波 数字信号 调制解调

    上传时间: 2013-06-05

    上传用户:362279997

  • 基于FPGA的扩频信号发生器

    本文以直接频率合成和伪随机码的设计与实现为中心,对扩频通信的基本理论、信号源的总体结构、载波调制、滤波器设计等问题进行了深入的分析和研究,并给出了模块的硬件实现方案。 首先介绍了FPGA技术的发展和应用,包括VHDL语言的基本语法结构和FPGA器件的开发设计流程等等。详细地分析了各类频率合成器的基础上提出采用直接数字式频率合成器(DDS)实现低相位噪声、高分辨率、高精度和高稳定度的信号源。研究了测距伪随机码的原理,确定选用移位序列作为系统的扩频码序列,并选取了符合本系统使用的移位序列扩频码。分别给出并分析了相应的FPGA硬件实现电路。 对于载波调制这一关键技术,提出了采用二进制相移键控相位选择法并相应作了硬件实现。分析与研究了射频宽带滤波器应具有的传输特性,通过分析巴特沃思滤波器、切比雪夫滤波器、椭圆滤波器和贝塞尔滤波器这几种滤波器的频谱特性,设计了发生器射频宽带滤波器。最后给出具体设计实现了的信号发生器的输出波形。

    标签: FPGA 扩频信号 发生器

    上传时间: 2013-04-24

    上传用户:greethzhang

  • 基于FPGA实现DVBS信道编码及调制

    DVB-S(Digital Video Broadcasting bv Satellite)调制器是符合DVB-S协议的数字电视前端设备之一,也满足我国数字电视卫星广播标准,该设备可以广泛应用于数字电视卫星业务和相关数字电视业务。本文主要阐述了基于FPGA实现DVB-S调制器的信道编码和调制,按功能对DVB-S信道编码过程进行模块分解、模块接口定义,针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现;DVB-S调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器和卷积穿孔编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性;对FPGA各模块的资源进行了估计、利用Altera公司的Cyclone器件的内部锁相环实现ASI信号的接收;最后对整机进行了测试,测试结果表明,本文设计的DVB-S调制器技术指标满足设计要求。

    标签: FPGA DVBS 信道编码 调制

    上传时间: 2013-04-24

    上传用户:gmh1314

  • 基于FPGA实现OFDM基带调制系统

    本文对OFDM基带调制解调系统的:FPGA设计进行了研究和论述,重点实现其中的RS码编、译码模块和基带成形滤波器模块。本文首先介绍了OFDM调制的原理和OFDM基带调制解调系统的总体设计,以及FPGA设计的基本原则。接着介绍了RS码的编码原理和时域迭代译码算法,在此基础上设计实现RS码编码器和译码器。然后介绍了成形滤波的原理和多种实现成形滤波器的结构,采用多相结构设计实现了平方根升余弦滚降滤波器。

    标签: FPGA OFDM 基带 调制系统

    上传时间: 2013-06-11

    上传用户:TF2015

  • 连续相位调制研究及其解调算法

    本文主要研究了近年来发展很快的一种高效的调制技术——连续相位调制(CPM)。与其它调制技术相比,它具有较高的带宽和功率利用率,这也令它在通信资源日益紧张的今天得到了越来越多的关注。CPM信号包含大量的信号形式,它们的共同特点是信号包络恒定、相位连续,尤其适合于无线通信。 本文首先介绍了CPM信号的一般表达式及其功率谱密度公式,在此基础上对CPM信号特性做了分析研究,并对其功率谱密度进行了计算机仿真,分析得出了CPM信号各调制参数的取值对其谱特性的影响;然后对CPM信号的各种解调方法进行了深入研究,对不同方法的解调性能作了仿真,通过比较分析得出解调性能、调制参数与系统实现复杂度之间相互制约的关系;最后,在前面分析研究的基础上,完成了一个实际通信系统中信号检测算法的。FPGA实现。

    标签: 相位调制 解调算法

    上传时间: 2013-05-29

    上传用户:baiom

  • 网格编码调制技术的FPGA实现

    在传统的数字传输系统中,纠错编码与调制是各自独立设计并实现的,译码与解调也是如此。80年代初,Ungerboeck根据调制解调与纠错编码的特点,提出了一种新的思想,称作网格编码调制,记为TCM。它是将调制解调与纠错编码当成一个整体来设计。它的中心思想是:采用编码方法将信号空间做最佳分割,使已调信号矢量端点间有最大的距离。这样就可以在相同发射功率、相同有效性的条件下提高信息传输的可靠性,特别适用于频带受限和功率受限信道。它在卫星通信和移动通信中的应用又使它成为研究热点。 本文介绍了TCM编码调制的基本原理,在此基础上提出了一种新的TCM编码的方法;介绍了卷积码Viterbi译码的基本原理和步骤,在此基础上分析了TCM的Viterbi译码的特点;研究了TCM在高斯白噪声条件下的误码性能及其编码增益,并在MATLAB上仿真来进行验证;介绍了数字逻辑设计的基本方法和流程,在此基础上介绍了基于FPGA的TCM系统的各个模块。

    标签: FPGA 网格编码 调制技术

    上传时间: 2013-07-26

    上传用户:13913148949

  • GPS信号CA码跟踪的FPGA实现

    GPS全球定位系统是美国国防部为军事目的而建立的卫星导航系统,其主要目的是解决海上、陆地和空中运载工具的导航定位问题。GPS作为新一代卫星导航系统,不仅具有全球、全天候、连续、高精度导航与定位能力,而且具有优良的抗干扰性和保密性。因此,发展全球定位系统是当今导航技术现代化的一个重要标志。在GPS接收机中,为了得到导航电文并对其进行解算,要完成复杂的信号处理过程。其中,怎样捕获到卫星信号,并对C/A码进行跟踪是研制GPS接收机的重要问题之一。本文在对GPS信号的结构进行深入的分析后,结合FPGA的特点,对算法进行设计及优化后,给出了相应的仿真。内容主要包括以下几个方面: 1.对GPS信号结构的产生原理进行了深入地分析,并对GPS信号的调制机理进行详细地阐述。 2.在GPS信号的捕获方面,采用了基于FFT频域的快速捕获的方法,即将接收到的GPS信号先利用快速傅立叶变换(FFT)变换到频域,在频域完成相应的运算后,再利用傅立叶反变换(IFFT)变换到时域。从而大大减少了计算量,加快了信号捕获的速度,提高了捕获性能。 3.在C/A码跟踪部分,本文采用了非相干延迟锁定环对C/A码进行跟踪。来自载波跟踪环路的本地载波将输入的信号变成基带信号,然后分别和本地码的三个不同相位序列进行相乘,将相乘结果进行累加,经过处理将得到码相位和当前的载波频率送到载波跟踪环路。 4.载波跟踪环,本文采用的是科斯塔斯环。载波跟踪环和码跟踪环在结构上相似,故本文只对关键的载波NCO进行了仿真。 本文的创新点主要是使用FPGA对整个GPS信号的捕获及C/A码的跟踪进行设计。此外,根据FPGA的特点,在不改变外部硬件设计的前提下,改变相应的IP核或相关的VHDL程序就可对系统进行各种优化设计,以适应不同类型的GPS接收机的不同功能。

    标签: FPGA GPS 信号

    上传时间: 2013-06-27

    上传用户:哇哇哇哇哇