虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

直播业务

  • LOBS边缘节点突发包组装和光板FPGA实现

    近年来提出的光突发交换OBS(Optical.Burst Switching)技术,结合了光路交换(OCS)与光分组交换(OPS)的优点,有效支持高突发、高速率的多种业务,成为目前研究的热点和前沿。 本论文围绕国家“863”计划资助课题“光突发交换关键技术和试验系统”,主要涉及两个方面:LOBS边缘节点核心板和光板FPGA的实现方案,重点关注于边缘节点核心板突发包组装算法。 本文第一章首先介绍LOBS网络的背景、架构,分析了LOBS网络的关键技术,然后介绍了本论文后续章节研究的主要内容。 第二章介绍了LOBS边缘节点的总体结构,主要由核心板和光板组成。核心板包括千兆以太网物理层接入芯片,突发包组装FPGA,突发包调度FPGA,SDRAM以及背板驱动芯片($2064)等硬件模块。光板包括$2064,发射FPGA,接收FPGA,光发射机,光接收机,CDR等硬件模块。论文对这些软硬件资源进行了详细介绍,重点关注于各FPGA与其余硬件资源的接口。 第三章阐明了LOBS边缘节点FPGA的具体实现方法,分为核心板突发包组装FPGA和光板FPGA两部分。核心板FPGA对数据和描述信息分别存储,仅对描述信息进行处理,提高了组装效率。在维护突发包信息时,实时查询和更新FEC配置表,保证了对FEE状态表维护的灵活性。在读写SDRAM时都采用整页突发读写模式,对MAC帧整帧一次性写入,读取时采用超前预读模式,对SDRAM内存的使用采取即时申请方式,十分灵活高效。光板FPGA分为发射和接收两个方向,主要是将进入FPGA的数据进行同步后按照指定的格式发送。 第四章总结了论文的主要内容,并对LOBS技术进行展望。本论文组帧算法采用动态组装参数表的方法,可以充分支持各种扩展,包括自适应动态组装算法。

    标签: LOBS FPGA 节点

    上传时间: 2013-05-26

    上传用户:AbuGe

  • WiMAX网络终端基带算法与FPGA实现

    随着全球经济不断增长和信息技术持续发展,越来越多用户提出了对数据、语音和视讯等宽带接入业务的需求。传统的接入网技术己成为新一代宽带通信网络建设的瓶颈,通信网络的宽带化成为一个必然的趋势。在众多新兴的接入技术中,宽带无线接入技术以其特有的优势成为近年来通信技术市场的最大亮点。基于IEEE802.16e的WiMAX技术作为一种面向无线城域网(WMAN)的宽带接入方案,正以其优异的性能和广阔的市场前景而倍受关注。 本文是基于WiMAX技术的网络终端的设计,根据IEEE802.16e协议,物理层需要对收发信息进行编解码、调制解调等的处理,其中包含很多运算密集的算法;这些处理有些适合硬件逻辑实现,有些适合数字信号处理器实现,所以设计采用了FPGAs+DSPs的实现方式。考虑对接收和发送数据的不同处理,在详细分析上行和下行链路的工作过程的基础上,对模块的进行了详细划分,并对系统的FPGA部分进行了详细设计。 设计中本文充分考虑了FPGA和DSP之间处理的优缺点,并注意避免器件之间通信的复杂化,在满足器件之间数据流量的同时,尽量使数据流向简单化,避免了延时增加和接口带宽调度的复杂化。最终整个设计完成完整的802.16e网络终端的物理层基带处理功能。

    标签: WiMAX FPGA 网络终端 基带

    上传时间: 2013-06-01

    上传用户:123456wh

  • 基于FPGA的多路E1反向复用传输芯片的设计与实现

    随着电信数据传输对速率和带宽的要求变得越来越迫切,原有建成的网络是基于话音传输业务的网络,已不能适应当前的需求.而建设新的宽带网络需要相当大的投资且建设工期长,无法满足特定客户对高速数据传输的近期需求.反向复用技术是把一个单一的高速数据流在发送端拆散并放在两个或者多个低速数据链路上进行传输,在接收端再还原为高速数据流.该文提出一种基于FPGA的多路E1反向复用传输芯片的设计方案,使用四个E1构成高速数据的透明传输通道,支持E1线路间最大相对延迟64ms,通过链路容量调整机制,可以动态添加或删除某条E1链路,实现灵活、高效的利用现有网络实现视频、数据等高速数据的传输,能够节省带宽资源,降低成本,满足客户的需求.系统分为发送和接收两部分.发送电路实现四路E1的成帧操作,数据拆分采用线路循环与帧间插相结合的方法,A路插满一帧(30时隙)后,转入B路E1间插数据,依此类推,循环间插所有的数据.接收电路进行HDB3解码,帧同步定位(子帧同步和复帧同步),线路延迟判断,FIFO和SDRAM实现多路数据的对齐,最后按照约定的高速数据流的帧格式输出数据.整个数字电路采用Verilog硬件描述语言设计,通过前仿真和后仿真的验证.以30万门的FPGA器件作为硬件实现,经过综合和布线,特别是写约束和增量布线手动调整电路的布局,降低关键路径延时,最终满足设计要求.

    标签: FPGA 多路 传输 片的设计

    上传时间: 2013-07-16

    上传用户:asdkin

  • 基于FPGA的无线接收机下变频器的设计与实现

    随着无线通信的应用日益广泛,无线通信系统的种类也越来越繁杂,但是由于不同通信系统的工作频段、调制方式、通信协议等原理结构上存在差异而极大限制了不同系统之间的互通。软件无线电摆脱了硬件体系结构的束缚,成为解决不同通信体制之间互操作问题和开展多种通信业务的最佳途径,具有巨大的商业和军事价值,被喻为无线电通信领域一次新的技术革命。 本文首先回顾了软件无线电的提出和发展现状,然后论述了软件无线电的基本理论和数学模型。在此理论和模型的基础上,设计了软件无线电接收机的硬件平台。该平台包括射频部分、中频处理部分和基带处理部分。射频部分由天线和无线接收机组成;中频部分先将接收机输出的模拟信号数字化,然后再通过FPGA实现下变频;基带部分主要由DSP和嵌入式系统组成,完成解调、同步等处理并可以进行一些其他的应用。其中的嵌入式系统的主处理器是基于ARM7-TDMI内核的LPC2200芯片,为了实现开发的方便在此芯片上移植了uC/OS-Ⅱ嵌入式时实内核。 软件无线电接收机是一个很庞大的体系,其中的数字下变频器DDC是一个非常关键的组成部分,在这部分中可方便的对接收频段、滤波器特性等进行编程控制,极大的提高了通信设备的性能和灵活性,因此本文的重点在于数字下变频器的设计与实现。实现下变频的方法有很多种,由于FPGA在速度和灵活性上的优势,其应用也越来越广泛,因此主要采用了居于领导地位的XILINX公司的SPATAN-Ⅱ芯片来实现数字下变频的功能。

    标签: FPGA 无线接收机 下变频

    上传时间: 2013-04-24

    上传用户:mfhe2005

  • 基于FPGA技术的星载高速复接器设计

    随着空间科学任务的增加,需要处理的空间科学数据量激增,要求建立一个高速的空间数据连接网络.高速复接器作为空间飞行器星上网络的关键设备,其性能对整个空间数据网络的性能起着重要影响.该文阐述了利用先入先出存储器FIFO进行异步速率调整,应用VHDL语言和可编程门阵列FPGA技术,对多个信号源数据进行数据打包、信道选通调度和多路复接的方法.设计中,用VHDL语言对高速复接器进行行为级建模,为了验证这个模型,首先使用软件进行仿真,通过编写testbench程序模拟FIFO的动作特点,对程序输入信号进行仿真,在软件逻辑仿真取得预期结果后,继续设计硬件电路,设计出的实际电路实现了将来自两个不同速率的信源数据(1394总线数据和1553B总线数据)复接成一路符合CCSDS协议的位流业务数据.在实验调试中对FPGA的输出数据进行检验,同时对设计方法进行验证.验证结果完全符合设计目标.应用硬件可编程逻辑芯片FPGA设计高速复接器,大幅度提高了数据的复接速率,可应用于未来的星载高速数据系统中,能够完成在轨系统的数据复接任务.

    标签: FPGA 星载 复接器

    上传时间: 2013-07-17

    上传用户:wfl_yy

  • 基于IEEE80211a的OFDM传输系统的接收机算法研究与FPGA实现

    作为一项正在兴起的无线应用服务,无线局域网已在机场、校园、会议室、甚至在家庭都有所应用.它正叩开高速无线数据业务市场的大门.目前,无线局域网仍处于众多标准共存时期.每一标准的背后都有大公司或者大集团的支持.在众多无线局域网协议中IEEE802.11a协议是很有特色的一个,它的优势在于采用了正交频分复用(OFDM)方式来传输数据,该技术可帮助提高速度和改进信号质量,并可克服干扰,因此得到众多关注.为了让这种高速的局域网真正应用到实际中,我们的项目就是要在硬件上实现基于IEEE802.11a协议的OFDM系统的发射机和接收机,而本文的主要工作就是用FPGA实现这个系统的内接收机.内接收机主要包括同步估计和信道估计.但是目前OFDM系统中包括同步、信道编码、信道估计、用户检测、降低峰均比等一些关键技术在具体实现上还存在着一些困难.许多文献对这些关键技术基本停留在理论上的讨论,与具体的实现还存在很大的差距.因此本文通过研究同步和信道估计的多种算法的性能和其实现的复杂度,提出一种适合在IEEE802.11a协议环境下的同步算法和信道估计,用FPGA加以实现.首先本文总结了目前OFDM系统信道估计的算法.在此基础上详细的讨论了基于IEEE802.11a协议的OFDM系统可以采用的信道估计方法:(1)提出了借助训练序列的LS估计法和LS-average估计法,分别在AWGN信道和多径信道对这两种方法进行了比较,证明无论在哪种信道环境下后者性能都要好于前者.为了能够进一步提高信道估计器的性能,在LS-average算法的基础上提出了消噪算法(NRA).(2)提出了借助导频的DFT插值算法.其次本文总结了目前OFDM系统同步的算法.OFDM系统同步包括定时同步和载波同步,其中定时同步又分为符号同步和抽样同步.本文主要是研究定时同步,而载波同步只是简单的讨论,因为在这项目中这是另有负责人.本文针对基于IEEE802.11a协议的OFDM系统把定时同步分为粗定时同步和细定时同步.然后分别对粗定时同步和细定时同步进行了详细的讨论.其中对粗定时同步的方法有:利用短训练序列和利用循环前缀,并对这两种方法进行了比较.对细定时同步是利用导频来跟踪.最后根据前面两章提出的算法所分析的结果,以及突发OFDM系统的信号和信道特征,选取了其中一种信道估计算法和定时同步算法,结合合作伙伴所提出的载波同步算法一起用FPGA实现整个基于IEEE802.11a协议的OFDM系统的内接收机,并分别测试了各个模块的性能以及综合模块的性能.

    标签: 80211a 80211 IEEE FPGA

    上传时间: 2013-05-26

    上传用户:zhengzg

  • 卷积码在CDMA2000中的应用及其译码器FPGA实现

    数字信息在有噪声的信道中传输时,受到噪声的影响,误码总是不可避免的。根据香农信息理论,只要使Es/N0足够大,就可以达到任意小的误码率。采用差错控制编码,即信道编码技术,可以在一定的Es/N0条件下有效地降低误码率。按照对信息元处理方式不同,信道编码分为分组码与卷积码两类。卷积码的k0和n0较小,实现最佳译码与准最佳译码更加容易。卷积码运用广泛,被ITU选入第三代移动通信系统,作为包括WCDMA,CDMA2000和TD-SCDMA在内的信道编码的标准方案。 本文研究了CDMA2000业务通道中的帧结构,对CDMA2000系统中的卷积码特性及维特比译码的性能限进行了分析,并基于MATLAB平台做了相应的译码性能仿真。我们设计了一种可用于CDMA2000通信系统的通用、高速维特比译码器。该译码器在设计上具有以下创新之处:(1)采用通用码表结构,支持可变码率;帧控制模块和频率控制器模块的设计中采用计数器、定时器等器件实现了可变帧长、可变数据速率的数据帧处理方式。(2)结合流水线结构思想,利用四个ACS模块并行运行,加快数据处理速度;在ACS模块中,将路径度量值存贮器的存储结构进行优化,防止数据读写的阻塞,缩短存储器读写时间,使译码器的处理速度更快。(3)为了防止路径度量值和幸存路径长度的溢出,提出了保护处理策略。我们还将设计结果在APEXEP20K30E芯片上进行了硬件实现。该译码器芯片具有可变的码率和帧长处理能力,可以运行于40MHZ系统时钟下,内部最高译码速度可达625kbps。本文所提出的维特比译码器硬件结构具有很强的通用性和高速性,可以方便地应用于CDMA2000移动通信系统。

    标签: CDMA 2000 FPGA 卷积码

    上传时间: 2013-06-24

    上传用户:lingduhanya

  • 突发OFDM系统接收机同步算法设计及其FPGA实现

    目前,以互联网业务为代表的网络应用,正快速地向包括数据、语音、图像的综合宽带多媒体方向发展,构建宽带化、大容量、全业务、智能化的现代通信网络已成为大势所趋.宽带无线接入(BWA)凭借其组网快速灵活、运营维护方便及成本较低等竞争优势,迅速成为市场热点,各种微波、无线通信领域的先进手段和方法不断引入,各种宽带无线接入技术迅速涌现.由于BWA要用于非视距传输,所以必须考虑无线信道的多经效应.而OFDM技术凭借着鲁棒的对抗频率选择性衰落能力和极高频谱效率引起了学术界和工业界的高度重视.其基本思想是把调制在单载波上的高速串行数据流,分成多路低速的数据流,调制到多个正交载波上并行传输,这样在传输时,虽然整个信道是频率选择性衰落,但是各个子信道却是平坦衰落,有效对抗了多经效应,同时由于各个子载波是正交的,极大提高了频谱效率.可以预料的是,随着通信系统将向基于IPv6核心网的全IP包的传输方向发展,越来越多的通信系统将具有"突发模式"的特征.本文关注的正是突发OFDM系统接收机设计和实现.由于IEEE 802.11a无线局域网是OFDM技术第一次真正的应用于突发系统,实现了面向IP的无线宽带传输,所以基于IEEE 802.11a的突发OFDM系统有着重要的借鉴和研究价值,本文也正是围绕着这个中心而展开.本文的各章节安排如下:在第一章中主要介绍OFDM的技术原理和在宽带无线接入中的应用,同时引出本文所关注的突发OFDM接收机设计.在第二章中先介绍了相干接收和信道估计的概念,重点分析了本文所采用的WLAN信道模型和信道估计算法,然后在得到同步误差表达式的基础上,先用星座图直观的表现OFDM系统中各种同步误差的影响,再从信噪比损失的角度对符种同步误差进行分析.第三章是本文的重点之一,在本章中对基于IEEE 802.11a的各种同步算法包括帧检测和符号定时、载波同步和采样时钟同步进行仿真和比较,并针对适合FPGA实现的同步算法进行了重点的分析.第四章也是本文的重点之一,提出了整个OFDM系统平台的硬件结构和基于IEEE 802.11a的接收机FPGA设计方案,然后从整体上介绍了接收机的实现结构,并给出了接收机各个模块的具体设计,最后对整个系统调试过程和测试结果进行了分析.

    标签: OFDM FPGA 接收机

    上传时间: 2013-04-24

    上传用户:zhoujunzhen

  • 基于FPGA的DAB信道编码器输入接口的设计与实现

    电台广播在我们的社会生活中占有重要的地位。随着我国广播事业的发展,对我国广播业开发技术、信号的传输质量和速度提出了更高更新的要求,促使广播科研人员不断更新现有技术,以满足人民群众日益增长的需求。 本论文主要分析了现行广播发射台的数字广播激励器输入接口的不足之处,根据欧洲ETS300799标准,实现了一种激励器输入接口的解决方案,这种方案将复接器送来的ETI(NA,G704)格式的码流转换成符合ETS300799标准ETI(NI)的标准码流,并送往后面的信道编码器。ETI(NA,G704)格式与现行的ETI(NI,G703)格式相比,主要加入了交织和RS纠错编码,使得信号抗干扰能力大大加强,提高了节目从演播室到发射台的传输质量,特别是实时直播节目要求信号质量比较好时具有更大的作用。 本论文利用校验位为奇数个的RS码,对可检不可纠的错误发出报警信号,通过其它方法替代原有信号,对音质影响不大,节省了纠正这个错误的资源和开发成本。 同时,我们采用FPGA硬件开发平台和VHDL硬件描述语言编写代码实现硬件功能,而不采用专用芯片实现功能,使得修改电路和升级变得异常方便,大大提高了开发产品的效率,降低了成本。 经过软件仿真和硬件验证,本系统已经基本实现了预想的功能,扩展性较好,硬件资源开销较小,具有实用价值。

    标签: FPGA DAB 信道 编码器

    上传时间: 2013-07-15

    上传用户:afeiafei309

  • 基于ARM的嵌入式远程控制平台系统软件设计

    远程控制的目的旨在突破地域和环境上的限制,对现场设备的运行状态及各种参数进行远程监控。尤其是在现场设备分布离散、工作环境恶劣等情况下,远程控制技术的采用实现了跨地域的集中控制,节省了人力物力,降低了生产成本,提高了生产率和经济效益。 本文采用ARM7TDMI系列S3C44BOX嵌入式微处理器和μC/OS—Ⅱ作为系统开发平台,研究并完成了操作系统的移植、应用程序的编写和系统的集成测试。在充分理解μC/OS—Ⅱ文件体系结构和移植条件的基础上,移植了OS_CPU.H、OS_CPU_AASM和OS_CPU_C.C三个文件。自定义了手机短信的通信格式。应用程序的编写完成了对串口信息的监测、读写、分析与执行。根据系统功能制定需要被操作系统调度的任务及任务优先级。系统调试主要分为两个步骤,先于宿主机上脱机调试程序代码,成功后通过JTAG端口下载到目标机上进行在线调试。 本文将移动通信技术和嵌入式技术结合起来应用到远程控制系统中。凭借SMS短消息业务所具有的操作简便、收费低廉、可靠性高等特点来发送对远程设备的监控指令;嵌入式实时操作系统的移植则更好地实现了对监控指令的分析与执行,提高了系统的执行效率。

    标签: ARM 嵌入式 远程控制 平台系统

    上传时间: 2013-06-25

    上传用户:Poppy