虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

监控模块

监控引擎(SupervisorEngine)也称为监控模块(Supervisormodule)或者监控器(Supervisor)。可以看作是背板式Catalyst交换机的大脑。插槽上的所有其他模块都可以通过监控模块进行访问和配置。CatOS或者CiscoIOS(根据特定的交换机而定)都运行在监控模块上。
  • 基于FPGA的数字视频光纤传输系统的设计.rar

    随着计算机技术和通信技术的迅速发展,数字视频在信息社会中发挥着越来越重要的作用,视频传输系统已经被广泛应用于交通管理、工业监控、广播电视、银行、商场等多个领域。同时,FPGA单片规模的不断扩大,在FPGA芯片内部实现复杂的数字信号处理系统也成为现实,因此采用FPGA实现视频压缩和传输已成为一种最佳选择。 本文将视频压缩技术和光纤传输技术相结合,设计了一种基于无损压缩算法的多路数字视频光纤传输系统,系统利用时分复用和无损压缩技术,采用串行数字视频传输的方式,可在一根光纤中同时传输8路以上视频信号。系统在总体设计时,确定了基于FPGA的设计方案,采用ADI公司的AD9280和AD9708芯片实现A/D转换和D/A转换,在FPGA里实现系统的时分复用/解复用、视频数据压缩/解压缩和线路码编解码,利用光收发一体模块实现电光转换和光电转换。视频压缩采用LZW无损压缩算法,用Verilog语言设计了压缩模块和解压缩模块,利用Xilinx公司的IP核生成工具Core Generator生成FIFO来缓存压缩/解压缩单元的输入输出数据,光纤线路码采用CIMT码,设计了编解码模块,解码过程中,利用数字锁相环来实现发射与接收的帧同步,在ISE8.2和Modelsim仿真环境下对FPGA模块进行了功能仿真和时序仿真,并在Spartan-3E开发板和视频扩展板上完成了系统的硬件调试与验证工作,实验证明,系统工作稳定,图像清晰,实时传输效果好,可用于交通、安防、工业监控等多个领域。 本文将视频压缩和线路码编解码在FPGA里实现,利用FPGA的并行处理优势,大大提高了系统的处理速度,使系统具有集成度高、灵活性强、调试方便、抗干扰能力强、易于升级等特点。

    标签: FPGA 数字视频 光纤传输系统

    上传时间: 2013-06-27

    上传用户:几何公差

  • 基于FPGA的智能小车系统.rar

    基于FPGA的智能小车系统就是本地计算机通过接入Internet小车实现对远端工作现场、危险工作地段等特殊环境进行监视和控制的系统。智能小车是智能行走机器人的一种,这种智能小车可以适应不同环境,不受温度、湿度、空间、磁场辐射、重力等条件的影响,可以在人类无法进入或生存的环境中完成人类无法完成的探测任务。适用于国防及民用多个领域。整个系统以遥控小车装置为基础,通过配置在上面的摄像头实现图像的采集及对行车道的检测,通过配置的红外测温仪探测环境和目标的温度,具有一定的智能性。其明显的优点是可以通过网络远程控制小车运行及采集现场的温度、图像等相关信息,完成人类在特定条件下无法完成的工作。对人类的科学研究、探索未知领域、远程监控等有着重要的意义。 论文在深入研究SOPC和嵌入式操作系统的基础上,提出了基于FPGA的智能小车远程监控方案。采用FPGA来实现,可以充分利用现有的IP核,功能扩展容易,设计开发成本低,上市时间快,修改方便,甚至可以远程重构系统。与单片机相比,集成度高,可靠性好,调试和维护方便。 论文主要内容包括以下几个部分:在对智能小车功能分析的基础上,设计了硬件系统,并在FPGA上构建了基于Nios Ⅱ的嵌入式系统,配置了SPI、串行口和以太网接口模块和驱动程序,以及各种存储器。移植了μClinux操作系统,配置嵌入式Web服务器,编写CGI程序,设计了动态网页;并对行车道检测系统进行了研究,在DSP Builder中构建了该模块,并在Matlab中进行了仿真。在研究数码相机模块和红外测温模块的基础上,编写了图像采集和温度测量程序以及小车运动控制程序,并对系统进行了调试,初步达到通过Internet实现远程监控的目的。

    标签: FPGA 智能小车

    上传时间: 2013-05-24

    上传用户:1047385479

  • H.264帧内预测算法优化及几个重要模块的FPGA实现.rar

    H.264作为新一代视频编码标准,相比上一代视频编码标准MPEG2,在相同画质下,平均节约64﹪的码流。该标准仅设定了码流的语法结构和解码器结构,实现灵活性极大,其规定了三个档次,每个档次支持一组特定的编码功能,并支持一类特定的应用,因此。H.264的编码器的设计可以根据需求的不同而不同。 H.264虽然具有优异的压缩性能,但是其复杂度却比一般编码器高的多。本文对H.264进行了编码复杂度分析,并统计了整个软件编码中计算量的分布。H.264中采用了率失真优化算法,提高了帧内预测编码的效率。在该算法下进行帧内预测时,为了得到一个宏块的预测模式,需要进行592次率失真代价计算。因此为了降低帧内预测模式选择的计算复杂度,本文改进了帧内预测模式选择算法。实践证明,在PSNR值的损失可以忽略不计的情况下,该算法相比原算法,帧内编码时间平均节约60﹪以上,对编码的实时性有较大帮助。 为了实现实时编码,考虑到FPGA的高效运算速度和使用灵活性,本文还研究了H.264编码器基本档次的FPGA实现。首先研究了H.264编码器硬件实现架构,并对影响编码速度,且具有硬件实现优越性的几个重要部分进行了算法研究和FPGA.实现。本文主要研究了H.264编码器中整数DCT变换、量化、Zig-Zag扫描、CAVLC编码以及反量化、逆整数DCT变换等部分。分别对这些模块进行了综合和时序仿真,并将验证后通过的系统模块下载到Xilinx virtex-Ⅱ Pro的FPGA中,进行了在线测试,验证了该系统对输入的残差数据实时压缩编码的功能。 本文对H.264编码器帧内预测模式选择算法的改进,算法实现简单,对软件编码的实时性有很大帮助。本文对在单片FPGA上实现H.264编码器做出了探索性尝试,这对H.264编码器芯片的设计有着积极的借鉴性。

    标签: FPGA 264 帧内预测

    上传时间: 2013-06-13

    上传用户:夜月十二桥

  • 电子科大硕士论文_基于H_264的嵌入式家庭远程视频监控系统的设计与实现.rar

    电子科大硕士论文_基于H_264的嵌入式家庭远程视频监控系统的设计与实现.rar

    标签: 264 电子科大 嵌入式

    上传时间: 2013-07-24

    上传用户:20160811

  • Zigbee模块的详细电路原理图和C代码.rar

    Zigbee模块的详细电路原理图和C代码

    标签: Zigbee C代码 模块

    上传时间: 2013-05-30

    上传用户:yangzhiwei

  • 用VC实现视频监控录像.rar

    用 VC 实现 视频 监控 录像

    标签: 视频监控 录像

    上传时间: 2013-06-16

    上传用户:hanli8870

  • 33个毕业设计单片机类.rar

    16×16点阵(滚动显示)论文+程序.rar cdma通信系统中的接入信道部分进行仿真与分析.rar LED显示屏动态显示和远程监控的实现.rar MCS-51单片机温度控制系统.rar USB接口设计.rar 毕业设计(论文)OFDM通信系统基带数据.rar 仓库温湿度的监测系统.rar 单片机串行通信发射机.rar 单片机课程设计__电子密码锁报告.rar 单片机控制交通灯.rar 电动智能小车(完整论文).rar 电气工程系06届毕业设计开题报告.rar 电信运营商收入保障系统设计与实现.rar 电子设计大赛点阵电子显示屏(A题)..rar 电子时钟.rar 火灾自动报警系统设计.rar 基于GSM短信模块的家庭防盗报警系统.rar 基于GSM模块的车载防盗系统设计 TC35i 资料.rar 基于网络的虚拟仪器测试系统.rar 门控自动照明电路.rar 全遥控数字音量控制的D类功率放大器.rar 数控直流稳压电源完整论文.rar 数字密码锁设计.rar 数字抢答器(数字电路).rar 数字时钟.rar 水箱单片机控制系统.rar 同步电机模型的MATLAB仿真.rar 温度监控系统的设计.rar 用单片机控制直流电机.rar 用单片机实现温度远程显示.rar 智能家用电热水器控制器.rar 智能型充电器电源和显示的设计.rar 自动加料机控制系统.rar 每个设计包含论文、原代码,个别的有PCB

    标签: 毕业设计 单片机

    上传时间: 2013-07-05

    上传用户:riiqg1989

  • EDA技术培训与VHDL之实用电路模块设计

    EDA技术培训与VHDL之实用电路模块设计

    标签: VHDL EDA 实用电路 模块设计

    上传时间: 2013-06-14

    上传用户:dancnc

  • 基于USB和FPGA技术的高性能数据采集模块的设计与实现

    在合成孔径雷达的研究和研制工作中,合成孔径雷达模拟技术具有十分重要的作用。本文以440MHz带宽线性调频信号,采样频率500MHz高分辨合成孔径雷达视频模拟器为研究对象。首先对模拟器的几项主要技术进行分析,在对点目标回波信号模型分析研究的基础上,对点目标原始回波数据进行模拟并做了成像验证,从而为硬件实现提供了正确的信号模型;针对传统的“波形存储直读法”方案,即在计算机平台上用模拟软件产生原始回波数据并存储,再通过计算机接口实现数据传输,最后完成数模转换产生视频信号这一过程,分析指出该方案在实现高分辨率时的速度和容量瓶颈。  针对具体的设计要求,围绕速度和容量问题,本文着眼于高分辨率SAR模拟器的FPGA实现研究,指出FPGA实时生成点目标原始回波数据是其实现的核心;针对这一核心问题,充分利用现代VLSI设计中的流水线技术与并行阵列技术以及FPGA的优良性能和丰富资源,在时间上采用同步流水结构、空间上采用并行阵列形式,将速度和容量问题统一为数据的高速生成问题;给出了系统总体设计思想,该方案不需要大容量存储器单元,大大减少模拟器复杂度;对原始回波数据实时生成模块的各主要单元给出了结构并进行了仿真,结果表明FPGA可以满足课题设计要求;同时,对该模拟器片上系统的实现、增强人机交互性,给出了人机界面的设计思路。  分析指出了点目标原始回波数据实时生成模块通过并行扩展即可实现多点目标的原始回波数据实时生成;最后对复杂场景目标模拟器的实现进行了构思,指出了传统方案在改进的基础上实现高分辨率视频模拟器的可行性。本文首次提出以FPGA实现高分辨率合成孔径雷达原始回波数据实时生成的思想,为国内业界在此方向做了一些理论和实践上的有益探索,对于国内高分辨率合成孔径雷达的研制具有一定的实际意义。

    标签: FPGA USB 性能 数据采集模块

    上传时间: 2013-05-26

    上传用户:alia

  • 基于OFDM的PLC通信系统同步模块的FPGA实现

    电力线通信技术利用分布广泛的低压电力线作为通信信道,实现internet高速互连,为用户提供互联网访问、视频点播等服务,形成包括电力在内的“四网合一”,目前正受到人们的关注。利用该技术,可以在居民区内建立宽带接入网,也可以利用遍布家庭各个房间的电源插座组成家庭局域网。但是电力线是传输电能的,因此通过电力线传输数据有许多的问题需要解决。 OFDM(正交频分复用)技术是实现电力线通信的一项热门技术。OFDM采用添加循环前缀的技术,能有效地降低ICI(信道间干扰)和ISI(码间干扰)。同时通过使用正交的子信道,大大提高了频谱资源利用率。FPGA作为可编程逻辑器件,具有设计时间短、投资少、风险小的特点,而且可以反复修改,反复编程,直到完全满足需要,具有其他方式无可比拟的方便性和灵活性,能够加速数字系统的研发速度。本文着重研究了OFDM同步技术在FPGA上的实现。本论文主要是在项目组工作的基础上构造双路信号数据纠正算法流程,提出最佳采样点与载波相位估计算法,完善中各个子模块算法的硬件设计流程。内容安排如下:第一章介绍OFDM(正交频分复用)技术的发展历史、技术原理。第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。第三章对OFDM系统的同步模块进行详细的阐述。第四章是OFDM同步算法的在FPGA上的实现,对各个子模块进行仿真,给出了仿真波形图和系统性能分析。最后,第五章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面与后续工作进行了探讨。

    标签: OFDM FPGA PLC 通信系统

    上传时间: 2013-04-24

    上传用户:hgy9473