虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

登录器源码登录器源码

  • VIP专区-嵌入式/单片机编程源码精选合集系列(132)

    VIP专区-嵌入式/单片机编程源码精选合集系列(132)资源包含以下内容:1. siemens PLC Prodave 通讯测试.2. 嵌入式系统下IC卡读写的源码.3. 数字音乐盒的完整毕业论文(无意中发现的) 课程设计题目:数字音乐盒.4. 单片机程序 51频率计 电子琴 电子钟程序 按键显示程序最终成功.5. C和C++嵌入式系統編程,一本很嵌入式编程很不错的书..6. 一本很好的介绍yaffs文件系统的书籍 一本很好的介绍yaffs文件系统的书籍.7. 一份不错的介绍linux 字符设备驱动的文档.8. 工程源文件.9. 用holtek3000开发环境编写的单片机C语言键盘扫描程序..10. 8255A芯片的中文资料(8255中文资料).11. mmc_sd_memory_card_driver_sample_project_files spi接口的sd card driver 示例.12. 单片机驱动PS2键盘的c程序 希望对大家有用.13. a voice guide client ,it is the second part of voice guide center.14. msc map program to control client of tools,use for map guide.15. C#开发win ce代码程序.16. 2个Ds18b20温度显示电路,12Mhz晶振.17. 5分频cpld原理图实现.18. 可实现对ST公司的SPI flash的控制.19. VB实现的文字图片原理,VB实现的文字图片原理.20. 嵌入式C精华, 嵌入式C精华,嵌入式C精华.21. 一个简单的电梯控制系统,主要实现一个八层楼的电梯控制..22. 这是自己做的一个gui 及供初学者参考 如有问题可以一起讨论 可发到我的邮箱里 完成的功能就是 对uicontrol的应用.23. 触摸屏校正算法,主流嵌入式设计都使用此算法,个人觉得经典..24. 基于51单片机的源码,内有液晶显示(IIC)接口,还实现串口和定时操作等功能..25. 链接器和加载器,这是一本全面介绍我们编写的程序是如何被链接的,个人觉得做嵌入式开发的软件工程师必备的一本书..26. 使用Embest IDE for ARM 开发环境及ARM 软件模拟器; 通过实验掌握简单ARM 汇编指令的使用方法。.27. AD7714是一款24位高速AD转换芯片.28. Cortex-M3是首款基于ARMv7-M架构的处理器.29. 刚刚学习ne2000驱动开发.30. ATMEL的芯片资料pdf文档.31. GPS卫星定位模块的驱动程序.32. 还可以.33. 基于CAN总线的分布式三维步进电机控制系统.34. 自己做的交通灯~~~用c编写的.35. 基于PCI总线的高速数据传输.36. [转载]嵌入到系统进程中,检查并报告主板型号.37. Freescale_071112_1公司内部的器件资料.38. 关于2410开发板的u-boot的说明.39. CX1-22R 可编程控制器(简称 CX1)是 22 路 I/O 一体化小型可编程控制器(PLC).40. PIC汇编各个时间延时小程序.

    标签: SD卡

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(133)

    VIP专区-嵌入式/单片机编程源码精选合集系列(133)资源包含以下内容:1. 一款优秀的51系统读写U盘的源程序和原理图.2. Automating Manufacturing Systems with PLCs 一本关于plc的理论书籍,解析很详细.3. 基于ATmega128的无线通信节点程序.4. wince 串口通讯非常好的源代码.5. Cypress 的(鼠标+键盘)复合设备汇编源程序.6. S3C44B0X开发板上的蜂鸣器测试程序.7. MCP2515使用的一个例子,有原理图和原代码.很使用..8. 步进电机的C++控制 很好用 适合初学者参考.9. 基于无线网络zigbee的协议栈的c代码。.10. 该文档介绍了如何用ti的cc2420来实现zigbee无线传感网络。.11. NPI单片机C语言编写的EEPROM读写程序,单片机为AT89C51ED2..12. IP04是一个使用Blackfin开源硬件结合Asterisk开源软件建立的IPPBX系统..13. 西安傅立叶科技的三星ARMS3C2410开发板电路的原理图.14. 磁盘FAT扇区数据读写操作 Ver 1.20(更新版).15. ATMEL 90usb128 USB CDC source,include USB protocol stack..16. (1)利用单片机定时器中断和定时器计数方式实现秒、分定时。 (2)通过LED显示程序的调整.17. 可实现时钟功能,倒计时,秒表,可以修改时间,蜂鸣器报警等.18. 带 SPI接口的独立 以太网控制器 . 2006 Microchip Technology Inc.高级信息 DS39662A_CN.19. 本文研究了在目前流行的嵌入式微控制器ARM9(Samsung 的 S3C2410)上硬件测试的方法。分析了在嵌入式Linux基础上开发测试程序的基本方法.20. 基于ARM2200开发平台开发的嵌入式TCPIP协议栈.21. Nucleus集成开发环境测试版.22. 软件无线电USRP v4.2版本硬件原理图.23. 嵌入式usb硬件开发.24. 基于QtEmbedded的GUI移植及应用程序开发.25. 最流行的CPLD.26. verilog语言编写的多处理器的程序代码.27. Flash file system.28. 本设计应用AT89C52单片机对高亮度LED显示屏进行控制.29. 最近做的工程需要用到步进电机,但由于量小,又没有厂家的选型手册,只得托人从深圳随便发了几个…… NMB (PM35L-048-HPH7)据我的测试.30. 运行ambfn7.m函数.31. 《PIC单片机应用开发典型模块》源代码及图片.32. 嵌入式开发的资料.33. 这是一个在某开发板上的FLASH文件系统的源码.34. STC12C5404AD的串口程序.35. E2ROM的读写程序.36. DS1302+AT89S52+LED的时钟显示.37. 电子时钟芯片ds1302的c51的程序例子.38. 用AT89C51和DS1302一起做的万年历.39. 简单的在ATMEGA64上移植ucosII.40. 用硬件描述语言(或混合原理图)设计模24计数器模块、4-7显示译码模块、顶层模块。.

    标签: 智能卡技术

    上传时间: 2013-06-24

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(152)

    VIP专区-嵌入式/单片机编程源码精选合集系列(152)资源包含以下内容:1. SST25系列编程方法.2. altera pci license al tera pci license.3. 关于嵌入式开发的词汇表.4. PIC单片机控制12位高速串行DA(max538)产生阶梯波.5. 关于MATLAB的GUI 程序设计的电子书.6. 通过61单片机显示飞翔的小鸟.7. 61A的IRQ4中断有三个中断源:1KHz、2Kz和4KHz,每一个中断分别控制与IOA0-IOA1、IOA2-IOA3和IOA4-IOA7相连的LED灯。.8. 基于ADI blackfin处理器的范例程序.9. 这是我在网上找到的一个关于数字滤波的方法集锦.10. 基于51单片机.11. 台湾研华 PCM-3718HO 手册 可用于嵌入式开发.12. 硬盘安装Linux系统方法 boot.init 使用方法:显示所有隐藏和受保护文件.13. Design of Image Collection System Based on High-speed PCI Bus基于PCI总线的高速图像采集系统设计.14. 嵌入式LCD屏驱动.15. 在PCB设计中.16. 用ISD1700来实现读温度.17. AT89S52控制ZLG7289扩展键盘和数码管显示代码.18. 4*4键盘C51单片机程序.本程序已经调试通过.19. 很好的数字电路设计ppt.20. PLC通过RS232BD与计算机串口通信程序.21. Minigui编程应用开发,介绍了嵌入式环境下图形开发方法.22. 4个按键判断指令防真实验 4个按键判断指令防真实验.23. 运行程序.24. 在通讯领域中使用相当广泛的HDLC.25. 2乘8按键扫描程序 4个IO口 74LS164串行数据端 时钟端 两个普通IO口.26. FLASH BIOS擦写工具.27. high effeciency about embedded linux c.28. 基于linux下spi 转 GM8142串口.29. BCD码波盘 BCD码波盘.30. 8通道温度控制检测 8通道温度控制检测.31. 51单片机串行口扩展键盘接口仿真 51单片机串行口扩展键盘接口仿真.32. 51单片机实现的电子密码.33. 51定时器常用例子包括测频率测脉宽产生波形.34. 8051双机通信简例 8051双机通信简例.35. 12864液晶滚动显示.36. AD双通道采集程序+proteus仿真.37. DS18B20+LED显示的温度计D S18B20+LED显示的温度计DS18B20+LED显示的温度计.38. DS1302+DS18B20的液晶显示实时时钟DS1 302+DS18B20的液晶显示实时时钟.39. DS1621高精度温度测量模拟.40. 2006年下半年(11月)嵌入式系统设计师考试试题及答案.

    标签: DSL 方案

    上传时间: 2013-04-15

    上传用户:eeworm

  • HDTV码流发生器内置信源解码板和基于FPGA的显示器测试信号发生器的研究

    该论文的工作主要分为两部分,第一部分是介绍与数字高清晰度电视(HDTV)码流发生器配套的信源解码板的设计与实现.信源解码板是整个码流发生器的重要组成部分,该论文在介绍相关标准MPEG-2和AC-3以及整个码流发生器功能的基础上提出了用ST公司的芯片组实现HDTV信源解码板的设计方案.论文详细分析了各个功能模块的具体设计方法以及实现时应注意的问题.目前该课题已经成功结题,各项技术指标完全符合合作单位的要求.该论文的第二部分主要是进行基于FPGA的显示器测试信号发生器的研究与开发.在对测试信号发生器所需产生的13种测试图案和所要适应的18种显示格式的介绍之后,该论文提出了以FLEX10K50为核心控制芯片的显示器测试信号发生器的设计方案.该论文详细讨论了FPGA设计中各个功能模块的划分和设计实现方法,并介绍了对FLEX10K50进行配置的方法.

    标签: HDTV FPGA 码流 发生器

    上传时间: 2013-04-24

    上传用户:yoleeson

  • 卷积码在CDMA2000中的应用及其译码器FPGA实现

    数字信息在有噪声的信道中传输时,受到噪声的影响,误码总是不可避免的。根据香农信息理论,只要使Es/N0足够大,就可以达到任意小的误码率。采用差错控制编码,即信道编码技术,可以在一定的Es/N0条件下有效地降低误码率。按照对信息元处理方式不同,信道编码分为分组码与卷积码两类。卷积码的k0和n0较小,实现最佳译码与准最佳译码更加容易。卷积码运用广泛,被ITU选入第三代移动通信系统,作为包括WCDMA,CDMA2000和TD-SCDMA在内的信道编码的标准方案。 本文研究了CDMA2000业务通道中的帧结构,对CDMA2000系统中的卷积码特性及维特比译码的性能限进行了分析,并基于MATLAB平台做了相应的译码性能仿真。我们设计了一种可用于CDMA2000通信系统的通用、高速维特比译码器。该译码器在设计上具有以下创新之处:(1)采用通用码表结构,支持可变码率;帧控制模块和频率控制器模块的设计中采用计数器、定时器等器件实现了可变帧长、可变数据速率的数据帧处理方式。(2)结合流水线结构思想,利用四个ACS模块并行运行,加快数据处理速度;在ACS模块中,将路径度量值存贮器的存储结构进行优化,防止数据读写的阻塞,缩短存储器读写时间,使译码器的处理速度更快。(3)为了防止路径度量值和幸存路径长度的溢出,提出了保护处理策略。我们还将设计结果在APEXEP20K30E芯片上进行了硬件实现。该译码器芯片具有可变的码率和帧长处理能力,可以运行于40MHZ系统时钟下,内部最高译码速度可达625kbps。本文所提出的维特比译码器硬件结构具有很强的通用性和高速性,可以方便地应用于CDMA2000移动通信系统。

    标签: CDMA 2000 FPGA 卷积码

    上传时间: 2013-06-24

    上传用户:lingduhanya

  • 高吞吐量LDPC码编码构造及其FPGA实现

    低密度校验码(LDPC,Low Density Parity Check Code)是一种性能接近香农极限的信道编码,已被广泛地采用到各种无线通信领域标准中,包括我国的数字电视地面传输标准、欧洲第二代卫星数字视频广播标准(DVB-S2,Digital Video Broadcasting-Satellite 2)、IEEE 802.11n、IEEE 802.16e等。它是3G乃至将来4G通信系统中的核心技术之一。 当今LDPC码构造的主流方向有两个,分别是结合准循环(QC,Quasi Cyclic)移位结构的单次扩展构造和类似重复累积(RA,Repeat Accumulate)码构造。相应地,主要的LDPC码编码算法有基于生成矩阵的算法和基于迭代译码的算法。基于生成矩阵的编码算法吞吐量高,但是需要较多的寄存器和ROM资源;基于迭代译码的编码算法实现简单,但是吞吐量不高,且不容易构造高性能的好码。 本文在研究了上述几种码构造和编码算法之后,结合编译码器综合实现的复杂度考虑,提出了一种切实可行的基于二次扩展(Dex,Duplex Expansion)的QC-LDPC码构造方法,以实现高吞吐量的LDPC码收发端;并且充分利用该类码校验矩阵准循环移位结构的特点,结合RU算法,提出了一种新编码器的设计方案。 基于二次扩展的QC-LDPC码构造方法,是通过对母矩阵先后进行乱序扩展(Pex,Permutation Expansion)和循环移位扩展(CSEx,Cyclic Shift Expansion)实现的。在此基础上,为了实现可变码长、可变码率,一般编译码器需同时支持多个乱序扩展和循环移位扩展的扩展因子。本文所述二次扩展构造方法的特点在于,固定循环移位扩展的扩展因子大小不变,支持多个乱序扩展的扩展因子,使得译码器结构得以精简;构造得到的码字具有近似规则码的结构,便于硬件实现;(伪)随机生成的循环移位系数能够提高码字的误码性能,是对硬件实现和误码性能的一种折中。 新编码器在很大程度上考虑了资源的复用,使得实现复杂度近似与码长成正比。考虑到吞吐量的要求,新编码器结构完全抛弃了RU算法中串行的前向替换(FS,Forward Substitution)模块,同时简化了流水线结构,由原先RU算法的6级降低为4级;为了缩短编码延时,设计时安排每一级流水线计算所需的时钟数大致相同。 这种码字构造和编码联合设计方案具有以下优势:相比RU算法,新方案对可变码长、可变码率的支持更灵活,吞吐量也更大;相比基于生成矩阵的编码算法,新方案节省了50%以上的寄存器和ROM资源,单位资源下的吞吐量更大;相比类似重复累积码结构的基于迭代译码的编码算法,新方案使高性能LDPC码的构造更为方便。以上结果都在Xilinx Virtex II pro 70 FPGA上得到验证。 通过在实验板上实测表明,上述基于二次扩展的QC-LDPC码构造和相应的编码方案能够实现高吞吐量LDPC码收发端,在实际应用中具有很高的价值。 目前,LDPC码正向着非规则、自适应、信源信道及调制联合编码方向发展。跨层联合编码的构造方法,及其对应的编码算法,也必将成为信道编码理论未来的研究重点。

    标签: LDPC FPGA 吞吐量 编码

    上传时间: 2013-07-26

    上传用户:qoovoop

  • 低速率语音声码器的研究与实现

    数字语音通信是当前信息产业中发展最快、普及面最广的业务。语音信号压缩编码是数字语音信号处理的一个方面,它和通信领域联系最为密切。在现有的语音编码中,美国联邦标准混合激励线性预测(MELP—Mixed Excited Linear Prediction)算法在2.4kb/s的码率下取得了较好的语音质量,具有广阔的应用前景。 FPGA作为一种快速、高效的硬件平台在数字信号处理和通信领域具有着独特的优势。现代大容量、高速度的FPGA一般都内嵌有可配置的高速RAM、PLL、LVDS、LVTTL以及硬件乘法累加器等DSP模块。用FPGA来实现数字信号处理可以很好地解决并行性和速度问题,而且其灵活的可配置特性,使得FPGA构成的DSP系统非常易于修改、测试及硬件升级。 本论文阐述了一种基于FPGA的混合激励线性预测声码器的研究与设计。首先介绍了语音编码研究的发展状况以及低速率语音编码研究的意义,接着在对MELP算法进行深入分析的基础上,提出了利用DSP Builder在Matlab中建模的思路及实现过程,最后本文把重点放在MELP声码器的编解码器设计上,利用DSP Builder、QuartusⅡ分别设计了其中的滤波器、分帧加窗处理、线性预测分析等关键模块。 在Simulink环境下运用SignalCompiler对编解码系统进行功能仿真,为了便于仿真,系统中没有设计的模块在Simulink中用数学模型代替,仿真结果表明,合成语音信号与原始信号很好的拟合,系统编解码后语音质量基本良好。

    标签: 低速 语音 声码器

    上传时间: 2013-06-02

    上传用户:lili1990

  • 基于FPGA的Turbo码编译码器研究与实现

    本文以Turbo码编译码器的FPGA实现为目标,对Turbo码的编译码算法和用硬件语言将其实现进行了深入的研究。 首先,在理论上对Turbo码的编译码原理进行了介绍,确定了Max-log-MAF算法的译码算法,结合CCSDS标准,在实现编码器时,针对标准中给定的帧长、码率与交织算法,以及伪随机序列模块与帧同步模块,提出了相应解决方案;而在相应的译码器设计中,采用了FPGA设计中“自上而下”的设计方法,权衡硬件实现复杂度与处理时延等因素,优先考虑面积因素,提高元件的重复利用率和降低电路复杂度,来实现Turbo码的Max-log-MAP算法译码。把整个系统分割成不同的功能模块,分别阐述了实现过程。 然后,基于Verilog HDL 设计出12位固点数据的Turbo编译码器以及仿真验证平台,与用Matlab语言设计的相同指标的浮点数据译码器进行性能比较,得到该设计的功能验证。 最后,研究了Tuxbo码译码器几项最新技术,如滑动窗译码,归一化处理,停止迭代技术结合流水线电路设计,将改进后的译码器与先前设计的译码器分别在ISE开发环境中针对目标器件xilinx Virtex-Ⅱ500进行电路综合,证实了这些改进技术能有效地提高译码器的吞吐量,减少译码时延和存储器面积从而降低功耗。

    标签: Turbo FPGA 编译码器

    上传时间: 2013-04-24

    上传用户:haohaoxuexi

  • 基于FPGA的RS码编译码器的设计与实现

    研制发射微小卫星,是我国利用空间技术服务经济建设、造福人类的重要途径。现代微小卫星在短短20年里能取得长足的发展,主要取决于微小卫星自身的一系列特点:重量轻,体积小,成本低,性能高,安全可靠,发射方便、快捷灵活等。在卫星通信系统中,由于传输信道的多径和各种噪声的影响,信号在接收端会引起差错,通过信道编码环节,可对这些不可避免的差错进行检测和纠正。 在微小卫星通信链路中,信道编码器的任务是差错控制。本文采用符合空间数据系统咨询委员会CCSDS标准的链接码进行信道编码,即内码为(2,1,6)的卷积码,外码为(255,223)的RS码,中间进行交织操作。其中,里德-索罗蒙码(简称RS码)是一种重要的非二进制BCH码,是分组码中纠错能力最强的纠错码,一次可以纠正多个突发错误,广泛地用于空间通信中。 本文针对南京航空航天大学自行研制的微小卫星通信分系统的技术要求,在用SystemView和C语言仿真的基础上,用硬件描述语言Verilog设计了RS(255,223)编码器和译码器,使用Modelsim软件进行了功能仿真,并通过Xilinx公司的软件ISE对设计进行综合、布局布线,最后生成可下载的比特流文件下载到Xilinx公司的型号为XC3S2000的FPGA芯片中,完成了电路的设计并实现了编码译码的功能,表明本文设计的信道编解码器的正确性和实用性,满足了微小卫星通信分系统的技术要求。

    标签: FPGA RS码 编译码器

    上传时间: 2013-08-01

    上传用户:lili123

  • 基于FPGA的Turbo码编译码器实现

    基于FPGA的Turbo码编译码器实现基于FPGA的Turbo码编译码器实现

    标签: Turbo FPGA 编译码器

    上传时间: 2013-06-13

    上传用户:ippler8