虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

电路信号完整性

  • 基于FPGA的数字信号发生器.rar

    数字信号发生器是数字信号处理中不可缺少的调试设备。在某工程项目中,为了提供特殊信号,比如雷达信号,就需要设计专用的数字信号发生器,用以达到发送雷达信号的要求。在本文中提出了使用PCI接口的专用数字信号发生器方案。 该方案的目标是能够采录雷达信号,把信号发送到主机作为信号文件存储起来,然后对这个信号文件进行航迹分离,得到需要的航迹信号文件。同时,信号发生器具有发送信号的功能,可以把不同形式的信号文件发送到检测端口,用于设备调试。 在本文中系统设计主要分为硬件和软件两个方面来介绍: 硬件部分采用了FPGA逻辑设计加上外围电路来实现的。在硬件设计中,最主要的是FPGA逻辑设计,包括9路主从SPI接口信号的逻辑控制,片外SDRAM的逻辑控制,PCI9054的逻辑控制,以及这些逻辑模块间信号的同步、发送和接收。在这个过程中信号的方向是双向的,所选用的芯片都具有双向数据的功能。 在本文中软件部分包括驱动软件和应用软件。驱动软件采用PLXSDK驱动开发,通过控制PCI总线完成数据的采录和发送。应用软件中包括数据提取和数据发送,采用卡尔曼滤波器等方法。 通过实验证明该方案完全满足数据传输的要求,达到SPI传输的速度要求,能够完成航迹提取,以及数据传输。

    标签: FPGA 数字信号发生器

    上传时间: 2013-07-14

    上传用户:脚趾头

  • 基于FPGA的GPS信号捕获与跟踪系统设计研究.rar

    互联网、移动通信、星基导航是21世纪信息社会的三大支柱产业,而GPS系统的技术水平和发展历程代表着全世界卫星导航系统的发展状况。目前,我国已经成为GPS的使用大国,卫星导航产业链也已基本形成。然而,我们对GPS核心技术的研究还不够深入,我国GPS产品的核心部分多数还是靠进口。 GPS接收机工作时,为了将本地信号和接收到的信号同步,要完成复杂的信号处理过程。其中,如何捕获卫星信号并保持对信号的跟踪是最重要的核心技术。很多研究者提出了多种解决方法,但这些方法多数都只停留在理论阶段,无法应用于GPS接收机系统进行实时处理。 本课题在分析了多种现有算法的基础上,研究设计了基于FPGA的GPS信号捕获与跟踪系统。在研究过程中,首先利用Nemerix公司的GPS芯片组设计制作了GPS接收机模块,它能正常稳定地工作,并可用作GPS基带信号处理的研究平台;该平台可实时地输出GPS数字中频信号;本课题在中频信号的基础上深入研究了GPS信号的捕获与跟踪技术。先详细分析比较了几种GPS信号捕获方法,给出了步进相关的捕获方案;接着分析了跟踪环路的特点,给出了锁频环和锁相环交替工作跟踪载波以及载波辅助伪码的跟踪方案,并最终实现了这些方案。 本课题设计的GPS信号捕获与跟踪处理系统是通过硬件和软件协同工作的方式实现的。硬件电路主要实现数据速率高、逻辑简单的相关器功能;而基于MicroBlaze软处理器的软件主要实现数据速率低、逻辑复杂的功能。本文给出了硬件电路的详细设计、仿真结果以及软件设计的详细流程。 本课题最终在FPGA上实现了GPS信号的捕获与跟踪功能,而且系统的性能良好。由此可以得出结论:本设计能够满足系统功能和性能的要求,可以直接用于实时GPS接收机系统的设计中,为自主设计GPS接收机奠定了基础。 本课题的研究得到了大连市信息产业局集成电路设计专项的资助,项目名称是“定位与通信集成功能的SOC设计”,研究成果将在2008年上半年投入试用。

    标签: FPGA GPS 信号捕获

    上传时间: 2013-04-24

    上传用户:1583060504

  • RC电路的应用总结.rar

    RC电路在模拟电路、脉冲数字电路中得到广泛的应用,由于电路的形式以及信号源和R,C元件参数的不同,因而组成了RC电路的各种应用形式:微分电路、积分电路、耦合电路、滤波电路及脉冲分压器。关键词:RC电路。微分、积分电路。耦合电路。在模拟及脉冲数字电路中,常常用到由电阻R和电容C组成的RC电路,在些电路中,电阻R和电容C的取值不同、输入和输出关系以及处理的波形之间的关系,产生了RC电路的不同应用,下面分别谈谈微分电路、积分电路、耦合电路、脉冲分压器以及滤波电路。

    标签: RC电路

    上传时间: 2013-05-27

    上传用户:15953929477

  • 基于FPGA的DDS信号源的设计.rar

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域,目前,常用的频率合成技术有直接频率合成、锁相频率合成和直接数字频率合成(DDS)等。其中DDS是一种新的频率合成方法,是频率合成的一次革命。全数字化的DDS技术由于具有频率分辨率高、频率切换速度快、相位噪声低和频率稳定度高等优点而成为现代频率合成技术中的佼佼者。随着数字集成电路、微电子技术和EDA技术的深入研究,DDS技术得到了飞速的发展。 DDS是把一系列数字量化形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换产生已经用数字形式存入的正弦波(或其它任意波形)。一个典型的DDS系统应包括以下三个部分:相位累加器可以时钟的控制下完成相位的累加;相位一幅度码转换电路一般由ROM实现;D/A转换电路,将数字形式的幅度码转换成模拟信号。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用FPGA来实现一个DDS系统,该DDS系统的硬件结构是以FPGA为核心实现的,使用Altera公司的Cyclone系列FPGA。 文章首先介绍了频率合成器的发展,阐述了基于FPGA实现DDS技术的意义;然后介绍了DDS的基本理论;接着介绍了FPGA的基础知识如结构特点、开发流程、使用工具等;随后介绍了利用FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等。重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序。采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点;接着对输出信号频谱进行了分析,特别是对信号的相位截断误差和幅度量化误差进行了详细的讨论,由此得出了改善系统性能的几种方法;最后给出硬件实物照片和测试结果,并对此作了一定的分析。

    标签: FPGA DDS 信号源

    上传时间: 2013-07-05

    上传用户:suxuan110425

  • 基于FPGA的多路脉冲时序控制电路设计与实现.rar

    在团簇与激光相互作用的研究中和在团簇与加速器离子束的碰撞研究中,需要对加速器束流或者激光束进行脉冲化与时序同步,同时用于测量作用产物的探测系统如飞行时间谱仪(TOF)等要求各加速电场的控制具有一定的时序匹配。在整个实验中,需要用到符合要求的多路脉冲时序信号控制器,而且要求各脉冲序列的周期、占空比、重复频率等方便可调。为此,本论文基于FPGA设计完成了一款多路脉冲时序控制电路。 本文基于Altera公司的Cyclone系列FPGA芯片EPlC3T100C8,设计出了一款可以同时输出8路脉冲序列、各脉冲序列之间具有可调高精度延迟、可调脉冲宽度及占空比等。论文讨论了FPGA芯片结构及开发流程,着重讨论了较高频率脉冲电路的可编程实现方法,以及如何利用VHDL语言实现硬件电路软件化设计的技巧与方法,给出了整个系统设计的原理与实现。讨论了高精密电源的PWM技术原理及实现,并由此设计了FPGA所需电源系统。给出了配置电路设计、数据通信及接口电路的实现。开发了上层控制软件来控制各路脉冲时序及属性。 该电路工作频率200MHz,输出脉冲最小宽度可达到10ns,最大宽度可达到us甚至ms量级。可以同时提供l路同步脉冲和7路脉冲,并且7路脉冲相对于同步脉冲的延迟时间可调,调节步长为5ns。

    标签: FPGA 多路 脉冲

    上传时间: 2013-06-15

    上传用户:ZJX5201314

  • 晶体三极管及其放大电路原理

    晶体三极管及其放大电路原理三极管的工作原理1. 三极管放大交流信号的外部条件要使三极管正常放大交流信号,除了需要满足内部条件外,还需要

    标签: 晶体三极管 放大 电路原理

    上传时间: 2013-05-30

    上传用户:浮尘6666

  • 滤波器和衰减器的电路设计

    滤波器影象参数法的设计滤波器是一种典型的选频电路,在给定的频段内,理论上它能让信号无衰减地通过电路,这一段称为通带外的其他信号将受到很大的衰减,具有很大衰减的频段称为阻带,通带与阻带的交界频率

    标签: 滤波器 衰减器 电路设计

    上传时间: 2013-07-16

    上传用户:libenshu01

  • FPGA在相位激光测距信号处理技术中的应用

    本文简单介绍了脉冲式激光测距原理、相位式激光测距的原理及相位测量技术。根据课题的要求,给出了电路系统设计方案,选择了合适测相系统电路参数,分析了调制波的噪声对系统的影响,计算出能满足系统精度要求的最低信噪比,对偶然误差、信号变化幅度大小、零点漂移和电路的相位延迟等原因引起的测量误差,提出了具体的解决措施,这些措施提高了数字检相电路的测相精度和稳定性。  根据电路系统设计方案,着重对混频电路、整形电路和自动数字检相电路进行了较为深入的分析与讨论,其中自动数字检相电路采用大规模可编程逻辑器件FPGA实现。  文中述叙了利用FPGA实现自动数字检相的原理及方法步骤,分析了FPGA实现鉴相功能的可靠性。根据设计要求,选择合适的FPGA逻辑器件和配置器件,使用QuartusⅡ软件开发可编程逻辑器件及VHDL编程,给出了用QuartusⅡ软件进行数字检相测量的系统仿真结果和混频电路、比较电路、数字检相电路的实验结果,对在没有零角度位置标志信号和没有允许计数标志信号条件下的实验结果的精度进行了分析。根据误差结果分析,提出了下一步研究改进的措施和思路。  

    标签: FPGA 相位 激光测距 信号处理技术

    上传时间: 2013-04-24

    上传用户:yare

  • FPGA在相位激光测距信号处理技术中的应用

    本文简单介绍了脉冲式激光测距原理、相位式激光测距的原理及相位测量技术。根据课题的要求,给出了电路系统设计方案,选择了合适测相系统电路参数,分析了调制波的噪声对系统的影响,计算出能满足系统精度要求的最低信噪比,对偶然误差、信号变化幅度大小、零点漂移和电路的相位延迟等原因引起的测量误差,提出了具体的解决措施,这些措施提高了数字检相电路的测相精度和稳定性。  根据电路系统设计方案,着重对混频电路、整形电路和自动数字检相电路进行了较为深入的分析与讨论,其中自动数字检相电路采用大规模可编程逻辑器件FPGA实现。  文中述叙了利用FPGA实现自动数字检相的原理及方法步骤,分析了FPGA实现鉴相功能的可靠性。根据设计要求,选择合适的FPGA逻辑器件和配置器件,使用QuartusⅡ软件开发可编程逻辑器件及VHDL编程,给出了用QuartusⅡ软件进行数字检相测量的系统仿真结果和混频电路、比较电路、数字检相电路的实验结果,对在没有零角度位置标志信号和没有允许计数标志信号条件下的实验结果的精度进行了分析。根据误差结果分析,提出了下一步研究改进的措施和思路。  

    标签: FPGA 相位 激光测距 信号处理技术

    上传时间: 2013-07-25

    上传用户:天涯

  • 集成运放应用电路设计360例

    本书全面阐述了集成运算放大器360种应用电路的设计公式、设计步骤及元器件的选择,包括集成运放应用电路设计须知,集成运放调零、相位补偿与保护电路的设计,运算电路、放大电路的设计、信号处理电路的设计、波形产生带你路的设计、测量电路的设计、电源电路及其他电路的设计等。。。。。。。

    标签: 360 集成运放 应用电路

    上传时间: 2013-04-24

    上传用户:gaoyining