虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

电梯仿真

  • 在QuartusII里用VHDL仿真实现电梯控制器

    在QuartusII里用VHDL仿真实现电梯控制器

    标签: QuartusII VHDL 仿真实现 电梯控制器

    上传时间: 2017-07-14

    上传用户:894898248

  • 基于Proteus仿真的电梯升降显示系统设计

    电梯作为高层建筑的附属产物今天发挥着不可代替的作用,尤其在近地垂直方向的位移上电梯的存在不可或缺,基于单片机控制的电梯升降显示则是单片机在现代生活中应用的典型范例,采用AT89C51单片机与LED点阵屏组合而成的电梯显示系统,显示更加灵活、人性化,相对于数码管的显示内容也更加丰富,实用性更强,通过利用Proteus软件对于电梯显示仿真系统的设计、仿真,可以提供实现类似功能的程序设计思路与设计程序。

    标签: proteus

    上传时间: 2022-03-27

    上传用户:moh2000

  • 用matlab仿真电梯群控系统的程序,很实用的

    用matlab仿真电梯群控系统的程序,很实用的

    标签: matlab 电梯群控系统

    上传时间: 2022-06-24

    上传用户:wangshoupeng199

  • 基于DSP控制电梯专用变频器研究.rar

    本文以电机控制DSPTMS320LF2407为核心,结合相关外围电路,运用新型SVPWM控制方法,设计电梯专用变频器。为了达到电梯专用变频器大转矩、高性能的要求,在硬件上提高系统的实时性、抗干扰性和高精度性;在软件上采用新型SVPWM控制方法,以消除死区的负面影响,另外单神经元PID控制器应用于速度环,对速度的调节作用有明显改善。通过软硬件结合的方式,改善电机输出转矩,使电梯控制系统的性能得到提高。 系统主电路主要由三部分组成:整流部分、中间滤波部分和逆变部分,分别用6RI75G-160整流桥模块、电解电容电路和7MBP50RA120IPM模块实现。并设计有起动时防止冲击电流的保护电路,以及防止过压、欠压的保护电路。其中,对逆变模块IPM的驱动控制是控制电路的核心,也是系统实现的主要部分。控制电路以DSP为核心,由IPM驱动隔离控制电路、转速位置检测电路、电流检测电路、电源电路、显示电路和键盘电路组成。对IPM驱动、隔离、控制的效果,直接影响系统的性能,反映了变频器的性能,所以这部分是改善变频器性能的关键部分。另外,本课题拟定的被控对象是永磁同步电动机(PMSM),要对系统实现SVPWM控制,依赖于转子位置的准确、实时检测,只有这样,才能实现正确的矢量变换,准确的输出PWM脉冲,使合成矢量的方向与磁场方向保持实时的垂直,达到良好的控制性能,因此,转子位置检测是提高变频器性能的一个重要环节。 系统采用的控制方式是SVPWM控制。本文从SVPWM原理入手,分析了死区时间对SVPWM控制的负面作用,采用了一种新型SVPWM控制方法,它将SVPWM的180度导通型和120度导通型结合起来,从而达到既可以消除死区影响,又可以提高电源利用率的目的。另外,在速度调节环节,采用单神经元PID控制器,通过反复的仿真证明,在调速比不是很大的情况下,其对速度环的调节作用明显优于传统PID控制器。 通过实验证明,系统基本上达到高性能的控制要求,适合于电梯控制系统。

    标签: DSP 控制 变频器

    上传时间: 2013-05-21

    上传用户:trepb001

  • 一个使用VHDL语言设计的电梯控制程序

    这是一个使用VHDL语言设计的电梯控制程序,里面还有仿真时序图。

    标签: VHDL 语言 电梯控制 程序

    上传时间: 2013-08-20

    上传用户:希酱大魔王

  • 基于单片机8×8LED点阵模拟电梯

    基于单片机8×8LED点阵模拟电梯,内附有Proteus仿真。

    标签: 8LED 单片机 点阵 模拟

    上传时间: 2013-10-26

    上传用户:mahone

  • 电梯的硬件描述语言设计

    电梯的硬件描述语言设计,可以下载测试与仿真,通过EDA开发系统进行调试

    标签: 电梯 硬件描述语言

    上传时间: 2015-08-24

    上传用户:yt1993410

  • FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制

    FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿

    标签: VHDL 2004.8 LED LCD

    上传时间: 2013-12-22

    上传用户:啊飒飒大师的

  • 这是一个使用VHDL语言设计的电梯控制程序

    这是一个使用VHDL语言设计的电梯控制程序,里面还有仿真时序图。

    标签: VHDL 语言 电梯控制 程序

    上传时间: 2013-12-25

    上传用户:xymbian

  • 这是一个模拟电梯的程序

    这是一个模拟电梯的程序,可以实现电梯功能的仿真

    标签: 模拟 电梯 程序

    上传时间: 2013-12-25

    上传用户:王者A