虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

电信宽带协议

  • MODBUS协议(版本2).rar

    一本详细介绍Modbus 协议的书籍,可以作为工具书查阅。

    标签: MODBUS 协议 版本

    上传时间: 2013-04-24

    上传用户:qazxsw

  • TCPIP协议栈LwIP的设计和实现.rar

    TCPIP协议栈LwIP的设计和实现 著名开源TCP/IP协议栈lwip的说明文档。 Adam Dunkels著 翻译 焦海波

    标签: TCPIP LwIP 协议栈

    上传时间: 2013-05-27

    上传用户:sc965382896

  • 基于无线网络zigbee的协议栈的c代码.rar

    基于无线网络zigbee的协议栈的c代码

    标签: zigbee 无线网络 协议栈

    上传时间: 2013-04-24

    上传用户:kirivir

  • FPGA串口协议程序.rar

    FPGA串口协议程序(VHDL语言实现),利用串口调试助手

    标签: FPGA 串口协议 程序

    上传时间: 2013-07-10

    上传用户:zhyiroy

  • 基于FPGA的OFDM基带系统研究.rar

    近几年来,OFDM(Orthogonal Frequency Division Multiplexing)技术引起了人们的广泛注意,根据这项新技术,很多相关协议被提出来。其中WiMax(Wireless MetropolitanArea Networks)代表空中接口满足IEEE 802.16标准的宽带无线通信系统,IEEE标准在2004年定义了空中接口的物理层(PHY),即802.16d协议。该协议规定数据传输采用突发模式,调制方式采用OFDM技术,传输速率较高且实现方便、成本低廉,已经成为首先推广应用的商业化标准。 本文主要对IEEE802.16d OFDM系统物理层进行研究,并在XILINX公司的Virtexpro II芯片上实现了基带算法。 首先讨论了OFDM基本原理及其关键技术。根据IEEE802.16d OFDM系统的物理层发送端流程搭建了基带仿真链路,利用MATLAB/SIMULINK仿真了OFDM系统在有无循环前缀(CP)、多径数目不同等情况下的性能变化。由于同步算法和信道估计算法计算量都很大,为了找到适合采用FPGA实现的算法,分析了同步误差和不同信道估计算法对接收信号的影响,并结合计算量的大小提出了一种新的联合同步算法,以及得出了LS信道估计算法最适合802.16d系统的结论。 其次,完成了基带发射机和接收机的FPGA硬件电路实现。为了使系统的时钟频率更高,采用了流水线的结构。设计中采用编写Verilog程序和使用IP核相结合的办法,实现了新的联合同步算法,并且通过简化结构,避免了信道估计算法中的繁琐除法。利用ISE9. 2i和Modelsim6.Oc软件平台对程序进行设计、综合和仿真,并将仿真结果和MATLAB软件计算结果相对比。结果表明,采用16位数据总线可达到理想的精度。 最后,采用串口通信的方式对基带系统进行了验证。通过串口通信从功能上表明该系统确实可行。 关键词:IEEE802. 16d; OFDM; 同步;信道估计;基带系统

    标签: FPGA OFDM 基带

    上传时间: 2013-07-31

    上传用户:1757122702

  • 基于FPGA的SATAⅡ协议研究与实现.rar

    现代的计算机追求的是更快的速度、更高的数据完整性和灵活性。无论从物理性能,还是从电气性能来看,现今的并行总线都已出现了某些局限,无法提供更高的数据传输率。而SATA以其传输速率快、支持热插拔、可靠的数据传输等特点,得到各行业越来越多的支持。 目前市场上的SATA IP CORE都是面向IC设计的,不利于在FPGA上集成,因此,本文在Xilinx公司的Virtex5系列FPGA上实现SATAⅡ协议,对SATA技术的推广、国内逻辑IP核的发展都有一定的意义。 本文将SATAⅡ协议的FPGA实现划分成物理层、链路层、传输层和应用层四个模块。提出了物理层串行收/发器设计以及物理链路初始化方案。分析了链路层模块结构,给出了作为SATAⅡ链路层核心的状态机的设计。为满足SATAⅡ协议3.0Gbps的速率,采用扩大数据处理位宽的方法,设计完成了链路层的16b/20b编码模块,同时为提高数据传输可靠性和信号的稳定性,分别实现了链路层CRC校验模块和并行扰码模块。在描述协议传输层的模块结构的基础上,给出了作为传输层核心的状态机的设计,并以DMA DATA OUT命令的操作为例介绍了FIS在传输层中的处理过程。完成了命令层协议状态机的设计,并实现了SATAⅡ新增功能NCQ技术,从而使得数据传输更加有效。最后为使本设计应用更加广泛,设计了基于AHB总线的用户接口。 本设计采用Verilog HDL语言对需要实现的电路进行描述,并使用Modelsim软件仿真。仿真结果表明,本文设计的逻辑电路可靠稳定,与SATAⅡ协议定义功能一致。

    标签: FPGA SATA 协议研究

    上传时间: 2013-06-16

    上传用户:cccole0605

  • SATA协议分析及其FPGA实现.rar

    并行总线PATA从设计至今已快20年历史,如今它的缺陷已经严重阻碍了系统性能的进一步提高,已被串行ATA(Serial ATA)即SATA总线所取代。SATA作为新一代磁盘接口总线,采用点对点方式进行数据传输,内置数据/命令校验单元,支持热插拔,具有150MB/s(SATA1.0)或300MB/s(SATA2.0)的传输速度。目前SATA已在存储领域广泛应用,但国内尚无独立研发的面向FPGA的SATAIP CORE,在这样的条件下设计面向FPGA应用的SATA IP CORE具有重要的意义。 本论文对协议进行了详细的分析,建立了SATA IP CORE的层次结构,将设备端SATA IP CORE划分成应用层、传输层、链路层和物理层;介绍了实现该IPCORE所选择的开发工具、开发语言和所选用的芯片;在此基础上着重阐述协议IP CORE的设计,并对各个部分的设计予以分别阐述,并编码实现;最后进行综合和测试。 采用FPGA集成硬核RocketIo MGT(RocketIo Multi-Gigabit Transceiver)实现了1.5Gbps的串行传输链路;设计满足协议需求、适合FPGA设计的并行结构,实现了多状态机的协同工作:在高速设计中,使用了流水线方法进行并行设计,以提高速度,考虑到系统不同部分复杂度的不同,设计采用部分流水线结构;采用在线逻辑分析仪Chipscope pro与SATA总线分析仪进行片上调试与测试,使得调试工作方便快捷、测试数据准确;严格按照SATA1.0a协议实现了SATA设备端IP CORE的设计。 最终测试数据表明,本论文设计的基于FPGA的SATA IP CORE满足协议需求。设计中的SATA IP CORE具有使用方便、集成度高、成本低等优点,在固态电子硬盘SSD(Solid-State Disk)开发中应用本设计,将使开发变得方便快捷,更能够适应市场需求。

    标签: SATA FPGA 协议分析

    上传时间: 2013-06-21

    上传用户:xzt

  • 基于FPGA的小型CPU中通信协议的研究及IPCore的开发.rar

    FPGA作为新一代集成电路的出现,引起了数字电路设计的巨大变革。随着FPGA工艺的不断更新与改善,越来越多的用户与设计公司开始使用FPGA进行系统开发,因此,PFAG的市场需求也越来越高,从而使得FPGA的集成电路板的工艺发展也越来越先进,在如此良性循环下,不久的将来,FPGA可以主领集成电路设计领域。正是由于FPGA有着如此巨大的发展前景与市场吸引力,因此,本文采用FPGA作为电路设计的首选。 @@ 随着FPGA的开发技术日趋简单化、软件化,从面向硬件语言的VHDL、VerilogHDL设计语言,到现在面向对象的System Verilog、SystemC设计语言,硬件设计语言开始向高级语言发展。作为一个软件设计人员,会很容易接受面向对象的语言。现在软件的设计中,算法处理的瓶颈就是速度的问题,如果采用专用的硬件电路,可以解决这个问题,本文在第一章第二节详细介绍了软硬结合的开发优势。另外,在第一章中还介绍了知识产权核心(IP Core)的发展与前景,特别是IP Core中软核的设计与开发,许多FGPA的开发公司开始争夺软核的开发市场。 @@ 数字电路设计中最长遇到的就是通信的问题,而每一种通信方式都有自己的协议规范。在CPU的设计中,由于需要高速的处理速度,因此其内部都是用并行总线进行通信,但是由于集成电路资源的问题,不可能所有的外部设备都要用并行总线进行通信,因此其外部通信就需要进行串行传输。又因为需要连接的外部设备的不同,因此就需要使用不同的串行通信接口。本文主要介绍了小型CPU中常用的三种通信协议,那就是SPI、I2C、UART。除了分别论述了各自的通信原理外,本文还特别介绍了一个小型CPU的内部构造,以及这三个通信协议在CPU中所处的位置。 @@ 在硬件的设计开发中,由于集成电路本身的特殊性,其开发流程也相对的复杂。本文由于篇幅的问题,只对总的开发流程作了简要的介绍,并且将其中最复杂但是又很重要的静态时序分析进行了详细的论述。在通信协议的开发中,需要注意接口的设计、时序的分析、验证环境的搭建等,因此,本文以SPI数据通信协议的设计作为一个开发范例,从协议功能的研究到最后的验证测试,将FPGA 的开发流程与关键技术等以实例的方式进行了详细的论述。在SPI通信协议的开发中,不仅对协议进行了详细的功能分析,而且对架构中的每个模块的设计都进行了详细的论述。@@关键词:FPGA;SPI;I2C;UART;静态时序分析;验证环境

    标签: IPCore FPGA CPU

    上传时间: 2013-04-24

    上传用户:vvbvvb123

  • 基于FPGA的嵌入式TCPIP协议栈的实现.rar

    本文论述了嵌入式TCP/IP协议栈的实现,介绍了TCP/IP协议栈的原理,以及硬线实现TCP/IP协议栈的意义和应用。 第一章为绪论,介绍论文研究的目的、内容、意义和国内外研究发展的现状。 第二章介绍FPGA设计的流程和Verilog HDL设计语言。着重介绍了FPGA的代码输入、编译、综合、仿真和下载等等步骤,并且介绍了FPGA设计中使用到的EDA软件。介绍了Verilog HDL语言的起源,以及Verilog HDL语言的优缺点,并与VHDL语言进行了简单的比较。 第三章介绍嵌入式系统要实现的经过剪裁的TCP/IP协议栈的内容。着重介绍了要实现的TCP/IP协议栈的子协议,包括TCP协议、UDP协议、IP协议、ARP协议、ICMP协议。在介绍这些协议的时候,介绍了这些协议的工作原理,以及这些协议要用到的报文的格式。 第四章介绍实现剪裁的TCP/IP协议栈的实现,具体介绍的经过剪裁的TCP/IP各个模块的设计工作。这个部分着重介绍各个模块的设计方法,实现各个模块的过程。在设计完这些模块后,对这些模块的仿真进行了仿真。 第五章是全文的总结,概括了作者在这次毕业设计中的主要工作和课题的意义,同时指出了进一步工作的方向和需要解决的问题。

    标签: TCPIP FPGA 嵌入式

    上传时间: 2013-07-04

    上传用户:leesuper

  • H264AVC的CAVLC编码算法研究及FPGA实现.rar

    H.264/AVC是国际电信联盟与国际标准化组织/国际电工委员会联合推出的活动图像编码标准,简称H.264。作为最新的国际视频编码标准,H.264/AVC与MPEG-4、H.263等视频编码标准相比,性能有了很大的提高,并已在流媒体、数字电视、电话会议、视频存储等诸多领域得到广泛的应用。 本论文的研究课题是基于H.264/AVC视频编码标准的CAVLC(Context-based Adaptive Variable Length Coding,基于上下文的自适应可变长编码)编码算法研究及FPGA实现。对于变换后的熵编码,H.264/AVC支持两种编码模式:基于上下文的可变长编码(CAVLC)和基于上下文的自适应算术编码(CABAC,Context-based Adaptive BinaryArithmetic Coding)。在H.264/AVC中,尽管CAVLC算法也是采用了VLC编码,但是同以往标准不同,它所有的编码都是基于上下文进行。这种方法比传统的查单一表的方法提高了编码效率,但也增加了设计上的困难。 作者在全面学习H.264/AVC协议和深入研究CAVLC编码算法的基础上,确定了并行编码的CAVLC编码器结构框图,并总结出了影响CAVLC编码器实现的瓶颈。针对这些瓶颈,对CAVLC编码器中的各个功能模块进行了优化设计,这些优化设计包括多参考块的表格预测法、快速查找表法、算术消除法等。最后,用Verilog硬件描述语言对所设计的CAVLC编码器进行了描述,用EDA软件对其主要功能模块进行了仿真,并在Cyclone II系列EP2C20F484的FPGA上验证了它们的功能。结果表明,该CAVLC编码器各编码单元的编码速度得到了显著提高且均能满足实时通信要求,为整个CAVLC编码器的实时通信提供了良好的基础。

    标签: CAVLC H264 FPGA 264

    上传时间: 2013-06-22

    上传用户:diamondsGQ