虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

用于访问国外网站

  • CYCK-401型气体综合分析仪

    该气体分析仪是我公司吸取国内外同类产品先进经验,独立开发完成的一种高科技产品,该产品采用了国外先进的氧传感器及红外二氧化碳传感器,配合现代的电子测量技术,使产品在测量精度上提高了一个档次,同时为了便于用户使用,整合了湿度传感器及温度传感器。 一、主要性能: 1、对氧气、二氧化碳、湿度、温度进行测量。 a、氧气含量的测定。采用英国City公司先进的氧传感器。 b、二氧化碳含量。采用芬兰维萨拉公司红外二氧化碳传感器。 c、湿度测量。本机集成了两路湿度测量功能,1路位于进气孔始端,另一路手持(可选)。采用法国Humirel公司产品。 d、温度测量。(可选)采用德国进口铂电阻温度传感器,以探针方式封装,用于测量果蔬内部温度。 2、采用便携式设计,集成了免维护电池及气泵,便于现场工作。 3、采用6寸液晶屏显示,显示内容直观,外观大方。 4、配有微型打印机,可打印样本数据。 5、能够保存250个样本数据。 6、可与电脑联机,把数据上传到电脑长期保存。 7、可对电池亏电,运行错误报警。 二、性能参数: 1、测量参数: a、氧气测量范围:0~25%,分辨率0.1%,精度0.2% b、二氧化碳测量范围:0~10%,分辨率0.1%,精度0.2% c、湿度测量范围:0~100%,分辨率0.1%,精度2% d、温度测量范围:-10~120℃,分辨率0.1℃,精度0.1℃(-10℃~+50℃) 2、整机尺寸:400MM x 160MM x 330MM

    标签: CYCK 401 气体 综合分析

    上传时间: 2013-11-23

    上传用户:tecman

  • 基于1553B总线的BU-61580芯片测试系统的设计

    BU-61580芯片测试系统用于检测DDC公司的BU-61580系列芯片的总线协议功能和电气特性,筛选失效芯片,并具备芯片接口时序调整功能,可检验芯片在不同的接口环境和工作方式下的特殊表现。以Windows XP为开发平台,标准VC++为开发工具,针对该芯片设计一套测试系统。PCI总线接口的专用芯片测试卡能够方便的插入待测试的芯片,与之相应的测试系统能够设置芯片的访问时序,测试芯片工作于不同模式下的状态。实际应用表明,该测试系统具有测试界面灵活、简单、准确的特点,满足了用户的要求。

    标签: 1553B 61580 BU 总线

    上传时间: 2015-01-03

    上传用户:gxm2052

  • 基于Labview的远程虚拟通信实验室开发

    传统的通信类实验课程需要购置大量的仪器设备用于教学,在操作过程中设备、线路的故障和老化又会影响实验进度。针对《通信原理》、《现代通信技术》等实验课的教学现状,本文在Labview2009的平台上开发一个远程虚拟通信实验室系统,可根据主要知识点自主设计扩展实验项目,学生可以在任何时候通过网络访问该系统进行实验,加深学生对理论知识的理解,提高学习效率,也可为高校节约大量资金。文中以线性分组码编解码系统和2FSK调制解调系统为例,详细介绍了该系统的具体设计和实现。

    标签: Labview 远程 虚拟通信 实验室

    上传时间: 2013-11-13

    上传用户:dljwq

  • 用于超声导波检测的波形发生器设计

    提出一种用于产生窄带脉冲信号的波形发生器设计方案。波形发生器的设计基于幅度调制的思想,电路由函数发生器MAX038、乘法器AD834、模拟开关DG401等元件构成,实现汉宁窗调制单一频率信号的功能。

    标签: 超声导波 检测 波形发生器

    上传时间: 2013-10-31

    上传用户:fang2010

  • 单片机视频教程总集(50多个视频教程网站)

    单片机视频教程总集(50多个视频教程网站)

    标签: 单片机视频教程 视频教程 网站

    上传时间: 2013-10-24

    上传用户:yepeng139

  • TinyM0配套教程 串行NOR Flash存储方案

    串行NOR Flash是用串口进行连续数据存取的小尺寸、低功耗Flash存储器;相对于并行Flash,它用更少的引脚传送数据,这降低了系统空间、功耗、成本。它内部的地址空间是线性的,随机访问速度快;它的传输效率高,在1~ 4MB的小容量时具有很高的性价比。更重要的是,串行NOR Flash的读写操作十分简单。这些优势使得串行NOR Flash被广泛地用于微型、低功耗的数据存储系统。串行NOR Flash 可通过SPI进行操作。用户根据NOR Flash芯片自定义的协议,通过SPI发送命令到芯片,并接收NOR Flash芯片返回的状态信息和数据信息。此外,用户在使用串行NOR Flash时需要注意其支持哪些类型的SPI操作方式。

    标签: TinyM0 Flash NOR 教程

    上传时间: 2013-11-08

    上传用户:wangjin2945

  • LPC1300用户手册 Flash存储器编程固件

    引导装载程序(boot loader)控制复位后的初始化操作,并提供对Flash存储器进行编程的方法。这可以对空片进行初始编程、对事先已编程的芯片进行擦除和再编程或者是在系统运行时通过系统中的应用程序对Flash存储器进行编程。19.3特性在系统编程:在系统编程(ISP)是通过使用引导装载程序软件和UART0串口对片内Falsh存储器进行编程/再编程的方法。这种方法也可以在芯片位于终端用户板时使用;在应用编程:在应用编程(IAP)是通过终端用户的应用代码对片内Flash存储器进行擦除/写操作的方法;只有LPC134x系列Cortex-M3微控制器支持从USB端口引导,通过将其枚举为大容量存储器等级(MSC)设备来连接到USB主机接口(仅适用于Windows操作系统);Flash访问时间可通过Flash控制器模块中的寄存器来配置;每个扇区的擦除时间为100ms±5%;而每个256字节的模块,其编程时间为1ms±5%。

    标签: Flash 1300 LPC 用户手册

    上传时间: 2013-11-04

    上传用户:weixiao99

  • NI Multisim和NI Ultiboard评估软件(专业版)

    Multisim可用于原理图输入、SPICE仿真、和电路设计,无需SPICE专业知识,即可通过仿真来减少设计流程前期的原型反复。Multisim可识别错误、验证设计,以及更快地原型。此外,Multisim原理图可无缝转换到NI Ultiboard中完成PCB设计。评估版软件不能打印图表以及导出最终Gerber文件。更多信息请访问ni.com/multisim/zhs/。

    标签: Ultiboard Multisim NI 评估软件

    上传时间: 2013-10-26

    上传用户:xiaozhiqban

  • 访问TCP/IP协议栈的vxd例子

    访问TCP/IP协议栈的vxd例子

    标签: TCP vxd IP 访问

    上传时间: 2015-01-03

    上传用户:chenjjer

  • 一个国外学生做的基于Web浏览器的email程序

    一个国外学生做的基于Web浏览器的email程序,和Apache、Sendmail一起工作

    标签: email Web 浏览器 程序

    上传时间: 2014-11-11

    上传用户:虫虫虫虫虫虫