虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

次数

  • 有源电力滤波器(APF)基础知识介绍

    1谐波和谐波分析2谐波治理方式及APF工作原理3我司APF系统架构及操作说明4部分厂家APF产品介绍5APF选型及应用场合注意事项主要参数对控制系统的影响(1)Udc越大,ic变化越快,但是器件耐压要求越高;(2)当Udc一定时,电容值越小,则直流母线电压波动越大,影响有源电力滤波器的补偿效果;电容值越大,则直流母线电压波动越小,但是电容体积和造价都会增加;(3)电感值L越大,电流的纹波越小,但补偿电流变化率就越小,电流跟踪能力就越弱;电感值越小,电流变化率越大,有源电力滤波器的动态响应速度越快,但电流变化就越剧烈,电流的纹波就越大(4)开关频率f越高,ic纹波越小,可以补偿的谐波次数越高,但对器件的要求越高,开关损耗也增大;开关频率越低,ic纹波电流越大,补偿效果越差

    标签: 电力滤波器

    上传时间: 2022-07-05

    上传用户:woyaotandang

  • VISUAL BASIC串口通信及编程实例

    目前,许多检测仪表、在线分析仪、工控机等均采用RS232或RS485协议通过串口与上位机进行通信,尤其是在钢铁、有色、热工等领域,用户通过串行通信可以实时访问远程设备的工作状况、对设备运行参数进行优化,通过指令反馈控制仪器设备的正常运行,从而实现生产的节能与质晕控制。此外,串行通信在实验教学、科学研究中也发挥着重要的作用,科技工作者采用串行通信接口能够方便快捷地对温度、浓度、流量、压力等过程参数进行实时监测与分析,大大减少工业试验次数,在低成本、高质最前提下完成设备的调试。因此,串行通信技术的应用在质量控制、节能降耗、环境保护等方面起到了事半功倍的作用,是广大科研人员的迫切需求。鉴于此,本书采用简单易学的Visual Basic 语言,对串行通信进行了系统性、集中性的介绍,由浅入深,使读者能够快速掌握该项技术并应用到科研生产环节,实现技术到成果的转化。本书以 Visual Basic6.0 中文版为背景编写,从初学者的角度,在介绍VB串口通信基本概念、串口连接线的制作以及 MSComm 串口通信控件的某础上,主要列举典型测控应用实例,详细分析了电子秤量具、在线浓度分析仪以及指令型通信设备等的通信协议,并提供了具体可行的串行通信开发程序,引导读者举一反三,实现与各类型控制设备的串口通信及数据采集。该书具有独特的编写风格, 主要有两大特点: 第一,处理问题集中化, 即本书专门针对串口进行选材与分析, 在串口方面不惜笔墨,而与串口无关的内容却惜墨如金,全书紧紧围绕串口展开讨论,为读者展示了系统、详尽的知识内容; 第二, 学以致用思想,即本书通过对具有串行通信功能仪表设备的数据采集和界面控制,将所学知识运用到实践之中,使读者做到举一反三, 学有所用, 切实掌握该项技术,从而达到培养科研和生产双重人才的目的。

    标签: visual basic 串口通信

    上传时间: 2022-07-09

    上传用户:qingfengchizhu

  • 《Python程序设计》实验指导书

    实验二、Python 运算符、内置函数实验目的:1、熟练运用 Python 运算符。2、熟练运用 Python 内置函数。实验内容:1、编写程序,输入任意大的自然数,输出各位数字之和。2、编写程序,输入两个集合 setA 和 setB,分别输出它们的交集、并集和差集 setA-setB。3、编写程序,输入一个自然数,输出它的二进制、八进制、十六进制表示形式。实验三、使用蒙特·卡罗方法计算圆周率近似值实验目的:1、理解蒙特·卡罗方法原理。2、理解 for 循环本质与工作原理。3、了解 random 模块中常用函数。实验内容:蒙特·卡罗方法是一种通过概率来得到问题近似解的方法,在很多领域都有重要的应用,其中就包括圆周率近似值的计算问题。假设有一块边长为 2 的正方形木板,上面画一个单位圆,然后随意往木板上扔飞镖,落点坐标(x, y)必然在木板上(更多的时候是落在单位圆内),如果扔的次数足够多,那么落在单位圆内的次数除以总次数再乘以 4,这个数字会无限逼近圆周率的值。这就是蒙特·卡罗发明的用于计算圆周率近似值的方法,如图所示。

    标签: python

    上传时间: 2022-07-09

    上传用户:13692533910

  • 基于LABVIEW温度越限报警程序设计

    1.目的及基本要求熟悉LabVIEW开发环境,掌握基于LabVIEW的虚拟仪器原理、设计方法和实现技巧,运用专业课程中的基本理论和实践知识,采用LabVIEW开发工具,实现温度越限报警设计和仿真。基本要求:本次基于LabVIEW程序设计下,目标是要做一个温度越限报警系统。首先程序开始运行,由温度计进行监测当前环境温度,同时设置一个报警上限温度。当温度计的监测温度超过报警上限温度,此时程序开启报警,报警灯亮。同时显示当前温度及报警信息、当前报警上限温度、当前计算机系统时间、以及报警次数。2. 温度越限报警系统程序设计基本原理2.1程序设计基本原理此次设计是基于LabVIEW12.0环境下的温度越限报警系统程序设计。首先进行控件的布局排列,布局排列应该尽量满足以下条件:(1)不同类别的的控件之间以显著的标志进行区分。(2)合理安排控件位置和分布。(3)确保整个界面匀称和整洁。首先,在程序前面板中添加两个温度计分别显示随机温度,上限温度;报警装置的作用是开启报警灯,当温度超过报警上限时,报警灯发出绿色报警信号。然后加入字符框,分别是:报警信息,报警上限温度,当前温度。其作用是输出当前信息。最后添加一些其他控件,显示报警次数、当前时间等。整个程序要用到定时循环结构,定时循环结构中要嵌套平铺式顺序结构,顺序结构中又需要加入嵌套条件结构。温度越限报警系统程序设计可以应用于以下环境中:(1)室内、外环境温度检测。(2)在消防安全温度越限报警检测。(3)受温度影响的易燃易爆品环境温度报警。(4)基于LabVIEW小游戏的制作。

    标签: labview 温度越限报警

    上传时间: 2022-07-20

    上传用户:2431247090

  • 智能锁量产50万套成熟方案

    嵌入式智能锁控制方案系统V1.3版智能锁方案是新一代集“指纹/密码/刷卡/遥控/手机APP远程”五大控制单元集一体的嵌入式智能单片机C语言程序电路控制系统,并具有门锁状态检测功能,并集成独立智能门铃电路控制功能。目前我们的方案主要应用于智能指纹锁、指纹控制门禁系统、指纹智能管险柜等领域。嵌入式智能锁控制方案系统V1.3版系统配备OLED显示屏、时尚触摸键盘、真人语音提示操作信息指引,使用户的操作更智能更简单、更人性化,无需操作说明书既可根据语音+显示指引进行操作设置。嵌入式智能锁控制方案系统V1.3版系统主要如有如下特性:1)智能---真人中英文语音+显示引导操作,管理员与普通用户的权限管理区分。2)安全---防偷窥输入设计虚位密码功能,让整个系统与用户交互很简易和安全。3)贴心---具有门锁状态检测功能可:钥匙开门、反锁、门未关、防拆、蓄意验证试探报警等检测功能。增加门铃功能,可以多曲目选择不同的门铃声,并可以在系统内选择开启或关闭门铃,也可以在系统内选择和关闭检测功能,让系统更人性化。4)精准---与国内外多家顶级主自研发生产的指纹采集器公司对接,使指纹识别的安全,速率,纠错特性发挥到更加安全及高效率。5)高速---从唤醒到比对成功1比N只需要320毫秒.待机感应上电,智能唤醒系统及无操作自动休眠。6)耐用---采用多级ESD放电防护处理,器件设计选型上都采用工业级应用,防水、防尘工艺处理,高低温寿命老化测试-40---+75度,>10万次开锁次数7)省电---功能功率小于138毫安,静态功率小于26~65微安,普通咸性电池使用续航9—12个月。8)灵活---方案已经与多家主流外设厂商对接,如:指纹读头、OLED等,让使用方案厂商更灵活选择合适供应商同时也降低缺货风险。

    标签: 智能锁

    上传时间: 2022-07-23

    上传用户:蓝天小雨

  • Protel99Se增强工具 v4.0

    Protel99Se增强工具 v4.0:在最新版本4.0中将修改所存在的BUG,并新加用`键(Esc下面按键)代替回车功能,减少左右向右移动和鼠标移动次数,加快速度。值得一提的是可用中键单击实现按键P的功能,这样可以和别的快捷键组合出很多功能,比如在PCB中按下S后再单击中间即可实现选择元件相连布线功能。最新版中增加在PCB 3D中使用鼠标滚轮功能。本软件的最终目的就是方便快捷,所以能用很方便使用快捷键的功能都没改动,只改动了一只手不太容易组合的键。至于网友提出按下左键点右键旋转器件的功能,我想没有必要,所以就没有添加该功能,因为右键在布线时有取消正在进行操作的功能,为了不影响原有供能,不打算进行改动。如果4.0版本没有什么问题,不打算在进行修改,这个版本也许就是最终版本。【功能列表】1.按键C单击 -->布线过程中切换布线层2.鼠标中键单击 -->调出Place菜单3.鼠标滚轮 -->放大缩小更新视图4.原理图中按下右键 -->移动工作区 字串6 5.按下中键向左移动 -->撤销操作6.按下中键向右移动 -->恢复操作7.按下中键向上移动 -->删除所有选中元件8.按下中键向下移动 -->删除单个选中元件9.单击`(Esc下面按键) -->实现回车功能以上功能可用于PCB,SCH,PCB库,SCH库,打印预览及3D窗口中,不同窗口功能稍有不同。需要说明的是中键单击相当于快捷键P的功能,所以能使用快捷键P的地方都可以用中键单击代替,比如在PCB窗口单击一次中键后可调出Place菜单,再单击一次中键即可放置焊盘,当然还可和别的快捷键组合出更多功能。

    标签: protel99se

    上传时间: 2022-07-23

    上传用户:kent

  • VIP专区-嵌入式/单片机编程源码精选合集系列(88)

    VIP专区-嵌入式/单片机编程源码精选合集系列(88)资源包含以下内容:1. 计算机并口转3路串行DA的DLL源码及电路,芯片MAX541/MAX5541,可以免费申请,8路I/O输出,5路输入,并口的简单极限应用.经使用各项性能不错,就是DA抗干扰较差,没有较大的电磁干扰运行.2. arm9上测试串口代码.3. I2C controller verilog code for altera fpga platform..4. sigma-delta ADC轉換之matlab模型.5. NiosII的Flash编程指南(www.sopc.net.cn).6. 楼宇门禁对讲机主机原理图.7. 开发环境.8. 开发环境WAVE6000.9. vb环境下用动态规划方法编的0/1背包问题.10. 该实验设计模60计数器.11. 嵌入式课程设计 页面置换算法模拟 列出缺页缺页次数和缺页率.12. DSP系列中的TMS320F2812 ADC范例程序.13. TMS320F2812 GPIO_input范例程序.14. TMS320F2812 SPI_FFDLB范例程序.15. 指令集模拟器.16. s3c44b0x的一些相关芯片资料,是官方的pdf格式,很有用.17. 大屏320240的C语言测试程序,已验证通过,请放心使用.18. 最新火热的CX32 源代码.19. 关于台湾新茂SM5964 I2C的程序.20. gps开发专用的源代码.21. Moore型状态机设计,基于VHDL.能够根据微处理器的读写周期,分别对应存储器输出写使能WE和读使能OE信号..22. 文介绍一款用AT89C51和串行ROM 制作的电子密码锁.23. 总线控制器 altera提供的FPGA源代码.24. bios嵌入DOS操作系统,可以先编译romos.asm制作成BIN文件,加载至BIOS的ISA模块.另外还有制作工具.25. wt89c51 watch dog proce.26. 瑞萨H8系列芯片内置rom读写测试.27. Opencore提供的I2C代码.28. 译码器的逻辑功能是将已赋予特定含义的一组二进制输入代码的原意"翻译"出来,变成对应的输出高低电平信号.该程序为3-8译码器.基于VHDL,其开发环境是MAXPLUS2..29. 驱动SOLOMON的SSD182.30. 驱动三星的KS0107/KS0108.31. 驱动ULTRCHIP的UC1682.32. 是一个带PROTEUS仿真的8路数字电压表.33. SSD3的练习6的答案.快期末考试了.34. S3C2410A 的存储器控制器提供访问外部存储器所需要的存储器控制信号。 S3C2410A 的存储器控制器有以下的特性:.35. 这是一个三星44b0的中文文档.36. 这个arm7嵌入式蕊版的起动代码.37. 这个代码是用ADS1.2平台开发的一个mp3播放器。.38. 该程序能够对信号进行OFDM处理.39. 在网上看见很多人用DM413.40. 介绍了CPCI总线及快速、低功耗模数转换器件AD976的主要特点.

    标签: ADAMS View MSC 弹簧

    上传时间: 2013-06-18

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(157)

    VIP专区-嵌入式/单片机编程源码精选合集系列(157)资源包含以下内容:1. 嵌入式486手册.2. 奔腾-486处理器指令集手册.3. 1602液晶显示程序+1302温度测量+18b20显示时间(C语言).4. 51写的SLE4442程序实验用的SLE4442卡初始密码PSC1、PSC2、PSC3均为0FFH.5. 语音芯片的驱动程序.6. 嵌入式平台入门--嵌入式系统内存泄漏的检查和预防.7. 从PCI一直讲到PCI EXPRESS的文章.8. 基于TMS320F2812的工控实时时钟.9. 新代数控系统.10. S3C2442 官方 原理图 网上收集的一份原理图!.11. 高级数据链路控制(HDLC)协议的介绍文档.12. ARMSYS实验二十四:FAT16文件系统的移植与应用 描述:对Nandflash进行格式化.13. pxa270 的bootloader源码.14. ds1302源程序 (为辅试验箱调试通过).15. FPGA开发板的原理图很详细的.16. HT单片机模拟I2C通讯.17. easy web build on NXP LPC2300 LPc2400.18. 蓝牙耳机的SCH,与PCB图,可适合于自己动手的朋友们进行创作..19. ti的12位AD采集c实现.20. wince 下测试串口.21. 本系列所采用的所有技术都将“以实例来定义”.22. 用运算放大器做正弦波振荡器在学校时老师就教过.23. FPGA/CPLD数字电路设计经验分享。大唐公司的!.24. NorFlash的烧写及相关说明可以通过串口实现程序的在线升级.25. 嵌入式操作系统实时性比对与评价,尤其对windowsce性能的测试大有帮助。.26. 东芯IV的SEP3203ARM的源代码.27. 1702的资料.28. 数字逻辑交通信号灯课程设计代码请指正.29. C#程序开发范例宝典 图书光盘附带源码 第20章.30. 介绍了嵌入式linux操作系统的知识以及arm编程.31. P,PI D控制系统仿真设计的例子.32. 32位元2進位SIGNED乘法器32位元SIGNED乘法器.33. 用8051单片机和6个数码管加一片DS1302时钟芯片做成实用闹钟.34. UP-NETARM 2410-S 开发平台 电路原理图.35. 通过时钟芯片计算按键开关闭合次数和时间.36. 通过对双口ram 操作判断硬件正确性.37. Nokia 3310 驱动程序 ... KeilC.38. MPC03-LV运动控制卡驱动程序.39. 一个51整开发板的应用(源程序电路图功能全实用给有需要的人).40. I2C : 用C语言编译的I2C.

    标签: 光学 温度变送器

    上传时间: 2013-07-21

    上传用户:eeworm

  • AMPLIFY 6.170

    此强而有力的工具将会增加网站联机速度。它最佳化了操作系统的设定及连结。Amplify修改了MTU(Maximum Transmission Unit)、RWIN(Receive Window),TTL(Time to Live)、PMTU's(Path Maximum Transmission Unit)。这些改改将的减少数据处理的分割次数,可以将资料快速的呈现在使用者面前。

    标签:

    上传时间: 2013-06-29

    上传用户:eeworm