虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

标准下

  • 基于FPGA的JPEG压缩编码的研究与实现.rar

    随着移动终端、多媒体、通信、图像扫描技术的发展,图像应用日益广泛,压缩编码技术对图像处理中大量数据的存储和传输至关重要。同时, FPGA单片规模的不断扩大,在FPGA芯片内实现复杂的数字信号处理系统也成为现实,因此采用FPGA实现图像压缩已成为一种必然趋势。JPEG静态图像压缩标准应用非常广泛,是图像压缩中主要的标准之一。研究JPEG图像压缩在FPGA上的实现,具有广阔的应用背景。 论文从实际工程应用出发,通过设计图像压缩的IP核,完成JPEG压缩算法在FPGA上的实现。首先阐述JPEG基本模式的压缩编码的标准,然后在设计规划过程中,采用SOC的设计思想,给出整个系统的内部结构、层次划分,对各个模块的HDL实现进行详细的描述,最后完成整体验证。方案采用了IP核复用的设计技术,基于Xilinx公司本身的IP核,进行了再次开发。在研究JPEG标准的核心算法DCT的基础上,加以改进,设计了适合器件结构的基于DA算法的DCT变换的IP核。通过结构和算法的优化,提高了速度,减少占用过多的片内资源。 设计基于Xilinx的Virtex- II系列的FPGA的硬件平台,在ISE7.1中编译综合,最后通过Modelsim仿真验证。分辨率为352×288大小的源图像,在不同的压缩等级设置下,均测试通过。仿真验证的结果表明:基于FPGA的JPEG压缩编码占用较少的硬件资源,可在较高的工作频率下运行,设计在速度和资源利用率方面达到了较优的状态,能够满足一般图像压缩的要求。 整个设计可以作为单独的JPEG编码芯片也可以作为IP核添加到其他系统中去,具有一定的使用价值。

    标签: FPGA JPEG 压缩编码

    上传时间: 2013-04-24

    上传用户:nairui21

  • JPEG2000中小波变换的FPGA实现.rar

    JPEG 2000是为适应不断发展的图像压缩应用而出现的新的静止图像压缩标准,小波变换是JEPG 2000核心算法之一。小波变换是一种可达到时(空)域或频率域局部化的时频域或空频域分析方法,其多尺度分解特性符合人类的视觉机制,更加适用于图像信息的处理。提升小波变换是一类不采用傅立叶变换做为主要分析工具的小波变换新方法,提升小波变换的提出大大简化了小波变换的计算,使其在实时信号处理领域得到广泛的应用。通过提升的方法很容易构造一般的整数小波变换,由于图像一般用位数较低的整数表示,整数小波变换可以将为整数序列的图像矩阵映射成整数小波系数矩阵,这就大大简化了小波变换的硬件电路设计。在当今数字化和信息化时代背景下,研究具有高速硬件处理功能的可变程逻辑器件在图像压缩算法领域的应用已经成为当今研究的热点。 本文旨在探讨和研制基于FPGA的小波变换模块的可能性和方法。本文采用Xilinx公司的Spartan-Ⅲ系列芯片,根据JPEG 2000推荐无损提升小波算法和有损提升小波算法,设计图像压缩系统的小波变换模块。主要工作如下: 第一部分介绍了传统小波分析理论和提升小波分析理论。包括连续小波时频局域性的特征,离散小波变换系数的意义,多分辨分析引出的构造小波基的系统方法和计算离散小波的快速算法等。重点放在介绍正交小波和双正交小波的构造方法,并介绍了数字图像在小波域的特点。讨论了提升小波变换的基本思想,讨论了用提升方法构造小波基以及传统小波变换的提升实现,讨论了整数小波变换。 第二部分介绍了FPGA结构及其设计流程。介绍了FPGA/CPLD器件的特征、发展趋势及FPGA/CPLD基本结构,然后重点介绍了本文用到的Xilinx公司Spartan-Ⅲ系列芯片的结构特点,以及Xilinx的FPGA开发软件ISE,最后介绍了硬件描述语言VHDL语言的特点。 最后一部分是本论文研究的主要内容,即JPEG 2000中最核心的算法-提升格式小波变换的一维变换模块设计和二维变换模块设计。一维提升小波变换模块采用两种不同的电路结构进行设计-低速低功耗的串行流水线结构和高速高功耗的并行阵列结构。同样,二维小波变换模块也采用了两种不同的电路结构进行设计-低速低功耗的折叠结构和高速高功耗的串行结构。 文章对提升小波变换的FPGA实现中的大量细节问题进行了讨论,给出了每种结构提升小波变换模块的电路原理图,并对原理图进行了仿真测试,仿真测试结果不仅表明了模块功能的正确性,而且表明不同小波模块可以满足相应领域的实际要求。

    标签: JPEG 2000 FPGA

    上传时间: 2013-06-08

    上传用户:dwzjt

  • 基于FPGA的MPEG2TS码流实时分析与检测系统.rar

    当前我国正处在从模拟电视系统向数字电视系统的转型期,数字电视用户数量激增,其趋势是在未来的几年内数字电视将迅速普及。在应用逐渐广泛的数字电视系统中,监控数字电视服务正成为一种越来越迫切的需要。然而,目前对于数字电视并没有合适的监测仪器,因此无法及时方便地诊断出现问题的信号以及隔离需要维修的数字化设备。通常只有当电视屏幕上的图像消失时我们才知道数字信号系统出了问题。几乎没有任何线索可以用来找到问题的所在或原因,码流分析仪器在这种情况下应运而生。目前在数字电视系统的前端,通过监控了解数字视频广播(DVB)信号和服务的状况从而采取措施比通过观众的反映而采取措施要主动和及时得多。传输流(TS)的测试设备可使技术人员分析码流的内部情况,它们在决定未来服务质量和客户满意度方面将扮演更重要的角色。 本文着重研究了在DVB广播电视系统中,DVB-ASI信号的解码、MPEG-2TS的实时检错原理和基于现场可编辑门阵列(FPGA)的实现方法。文章首先阐述了数字电视系统的一些基本概念,介绍了MPEG-2/DVB标准、ETR101 290标准、异步串行接口(ASI)。然后介绍了FPGA的基本概念与开发FPGA所使用的软件工具。最后根据DVB-ASI接收系统的解码规则与MPEG-2TS码流的结构提出了一套基于FPGA的MPEG-2TS码流实时分析与检测系统设计方案并予以了实现。 在本系统中,FPGA起着核心的作用,主要完成DVB-ASI的解码、MPEG-2TS码流检错、以及数字电视节目专有信息(PSI)提取等功能。本文实现的系统与传统的码流分析仪相比具有集成度较高、易扩展、便于携带、稳定性好、性价比高等优点。

    标签: MPEG2TS FPGA 码流

    上传时间: 2013-06-04

    上传用户:love1314

  • 在仿真环境下实现TMS320C6000系列DSP的程序自引导.rar

    在仿真环境下实现TMS320C6000系列DSP的程序自引导

    标签: C6000 320C 6000 TMS

    上传时间: 2013-08-03

    上传用户:tdyoung

  • 松下继电器选型手册

    松下继电器选型手册

    标签: 松下 继电器 选型手册

    上传时间: 2013-07-26

    上传用户:branblackson

  • SD42522设计MR16 LED射灯

    基于 LED 恒流驱动电路 SD42522,设计符合 MR16 灯头标准的 MR16 LED 射灯演示板,满足输入电压 12V(AC)条件下,输出 350mA,最大可以驱动 3 颗串联 LED 或输出

    标签: 42522 LED SD 16

    上传时间: 2013-06-16

    上传用户:lijinchuan

  • 高噪声率下极值型中值滤波算法的改进

    极值型中值滤波算法在高噪声率下的滤波效果不是很好,主要原因有以下两个:首先,滤波窗口中过多的噪声点会使窗口中的点在排序时产生中值偏移;其次是高噪声率环境下,可能序列中值本身就是是噪声点。对此,本文提出

    标签: 高噪声率 中值滤波 法的改进

    上传时间: 2013-06-26

    上传用户:小小小熊

  • 标准集成电路数据手册cmos4000系列电路

    标准集成电路数据手册cmos4000系列电路

    标签: cmos 4000 标准 数据手册

    上传时间: 2013-06-01

    上传用户:amandacool

  • 二维DCT/IDCT处理核的FPGA设计与实现

    离散余弦变换(DCT)及其反变换(IDCT)在图像编解码方面应用十分广泛,至今已被JPEG、MPEG-1、MPEG-2、MPEG-4和H.26x等国际标准所采用。由于其计算量较大,软件实现往往难以满足实时处理的要求,因而在很多实际应用中需要采用硬件设计的DCT/IDCT处理电路来满足我们对处理速度的要求。本文所研究的内容就是针对图像处理应用的8×8二维DCT/IDCT处理核的硬件实现。 本文首先介绍了DCT和IDCT在图像处理中的作用和原理,详细说明了DCT变换实现图像压缩的过程,并与其它变换比较说明了用DCT变换实现图像压缩的优势。接着,分析研究了DCT的各种快速算法,总结了前人对DCT快速算法及其实现所做的研究。本文给出了两种性能、资源上有一定差异的二维DCT/IDCT的FPGA设计方案。两种方案均利用DCT的行列分离特性,采用流水线设计技术,将二维DCT/IDCT实现转化为两个一维DCT/IDCT实现。在一维DCT/IDCT设计中,根据图像处理的特点对Loeffler算法的数据流进行了优化,通过合理安排时钟周期数和简化各周期内的操作,大大缩短了关键路径的执行时间,从而提高了流水线的执行速度。最后,对所设计的DCT/IDCT处理核进行了综合和时序仿真。 结果表明,当使用Altera公司的MERCURY系列FPGA器件时,本文设计的方案一能够在116M时钟频率下正确完成8×8的二维DCT或IDCT的逻辑运算,消耗2827个逻辑单元;方案二能够在74M时钟频率下正常工作,消耗1629个逻辑单元。

    标签: IDCT FPGA DCT 二维

    上传时间: 2013-07-14

    上传用户:3291976780

  • 基于FPGA的机载高速数据记录系统的研究

    本文将电路接口技术与硬件可编程技术相结合,提出了用可编程芯片来控制IDE硬盘进行高速数据记录,能够满足机载数据记录设备重量轻、容量大、速度快的要求。 论文对硬盘ATA接口标准进行了研究,对VHDL语言、现场可编程门阵列器件(FPGA)实现硬件电路的原理和方法进行了深入分析,在此基础上完成了基于FPGA的数据记录控制器的设计。文中选择了具有低功耗、低成本、高性能的FPGA芯片(型号为CycloneEP1C3T144C8),将各功能模块级联成系统在该芯片上完成了控制器系统级的设计与仿真验证,验证结果表明了用FPGA实现高速数据记录控制器的可行性。所设计的VHDL代码经QuartusⅡ综合、布局布线、管脚分配后,在FPGA内部可以达到104.46Mhz的电路工作速度,FPGA与硬盘之间采用ATA接口的UltraDMA模式2传输方式,可以达到33.3MByte/s的突发数据传输率。文中对所用到的FPGA设计技术给予了详细说明,对各功能模块的设计给予了详细阐述,对关键设计给出了VHDL源代码,还讨论了FPGA设计中时序约束的作用,给出了本文所做时序约束的方法。 本文中所论述的工作对以后机载数据记录系统的设计具有重要的铺垫作用。文中在总结所做工作的同时,还对下一步工作提出了有益的建议。

    标签: FPGA 机载 高速数据 记录系统

    上传时间: 2013-08-05

    上传用户:hanli8870