虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

机群系统

  • 基于FPGA的工业X-CT二代扫描控制系统研究

    工业X-CT(X-ray Computed Tomography)无损检测技术是以不损伤或者破坏被检测对象的一种高新检测技术,被誉为最佳的无损检测手段,在无损检测领域日益受到人们的青睐。近年来,各国都在投入大量的人力、物力对其进行研究与开发。 目前,工业CT主要采用第二代和第三代扫描方式。在工业CT第三代扫描方式中,扫描系统仅作“旋转”运动,控制系统比较简单。对此,我国已取得了可喜的成绩。然而,对工业CT系统中的二代扫描运动控制系统,即针对“平移+旋转”运动的控制系统的研究,我国已有采用,但与发达国家相比,还存在较大的差距。二代扫描方式与其它扫描方式相比,具有对被检物的尺寸没有要求,且能够对感兴趣的检测区域进行局部扫描的独特优点。同时X光源的射线出束角较小(一般小于20°),因此在工业X-CT系统主要采用二代扫描运动控制。有鉴于此,本论文结合有关科研项目,开展了工业X-CT二代扫描控制系统的研究。 论文首先介绍了工业X-CT系统的工作原理和各种扫描运动控制方式的特点,阐述了开展二代扫描控制的研究目的和意义。其次,根据二代扫描控制的特点,提出了“在优先满足工业X-CT二代扫描控制的基础上,力求实现对工业X-CT扫描运动的通用控制,使其能同时支持一、三代扫描方式”的设计思想。据此,研究确立了基于单片机AT89LV52及FPGA芯片EP1C3T100C8的运动控制架构,以实现二代扫描控制系统的设计方案。论文详细介绍了可编程逻辑器件FPGA的工作原理和开发流程,并对其相关开发环境QuartusII4.1作了阐述。结合运动控制系统的硬件设计,详细介绍了各功能模块的具体设计过程,给出了相关的设计原理框图和实际运行波形。并制作了相应的PCB板,调试了整个硬件控制系统。最后,论文还详细研究了利用VisualC++6.0来完成上位机控制软件的设计,给出了运动控制主界面及扫描运动控制功能软件设计的流程图。 论文对整个运动控制系统采用的经济型的开环控制技术所带来的不利影响,分析研究了增加步进电机的细分数以提高扫描精度的可能性,并对所研究的控制系统在调试过程中出现的一些问题及解决方案作了简要的分析,提出了一些完善方法。

    标签: FPGA X-CT 工业 扫描控制

    上传时间: 2013-04-24

    上传用户:stella2015

  • 基于FPGA的电力系统谐波检测

    电力系统自诞生以来,就孪生了电力系统谐波,随着电子装置的广泛应用,谐波问题变得日益严重,电力谐波已经成为电力系统的公害。谐波检测是谐波研究中的一个重要的分支,是解决其他相关谐波问题的基础,因此进行谐波检测的研究具有重要的理论意义和实用价值。 本论文主要是从谐波检测理论和实现方法上探讨了高精度、高实时性谐波检测数字系统的相关问题。 论文中阐述了电力系统谐波的相关概念和产生原理,并分析了电力谐波的特点。在检测理论上,本文采用FFT理论来计算谐波含量,研究了Radix-2FFT在谐波检测中的应用,描述了FFT分析过程中的频谱泄漏现象,并从理论上研究了频谱泄漏的根源。 为了解决频谱泄漏问题,本文提出了采用锁相倍频技术方法,跟踪电力系统工频频率变化,从而有效减少频谱泄漏。在谐波检测中,FFT运算量很大、对速度和精度要求苛刻,本文探讨了应用FPGA实现FFT信号处理的方法。

    标签: FPGA 电力系统 谐波检测

    上传时间: 2013-06-17

    上传用户:gxf2016

  • 基于FPGA的DES加密系统设计与实现

    本文设计实现了一种基于FPGA的DES加密系统。 概括起来,本文主要完成了以下几方面的主要工作:完成了DES加密系统的整体设计。整个系统包括DES加密核心模块,UART通信接口模块和BLOCKRAM存储模块。以EITS2003开发板为硬件开发平台,ISEwebpack为开发软件,用Verilog硬件描述语言设计并且实现了三大模块的具体功能及整体连接。用PC,串口调试工具,UART通信接口和EITS2003开发板测试并验证了整个系统的功能。探讨了DES加密系统在军事通信总站内的应用。

    标签: FPGA DES 加密 系统设计

    上传时间: 2013-06-14

    上传用户:dancnc

  • 基于FPGA的数字化调频DDS系统设计

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域。目前,常用的频率合成技术有直接式频率合成,锁相频率合成和直接数字频率合成(DDS)。本次设计是利用FPGA完成一个DDS系统并利用该系统实现模拟信号的数字化调频。 DDS是把一系列数字量形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换器产生已经用数字形式存入的正弦波(或其他任意波形)。一个典型的DDS系统应包括:相位累加器,可在时钟的控制下完成相位的累加;相位码—幅度码转换电路,一般由ROM实现;DA转换电路,将数字形式的幅度码转换成模拟信号。DDS系统可以很方便地获得频率分辨率很精细且相位连续的信号,也可以通过改变相位字改变信号的相位,因此也广泛用于数字调频和调相。本次数字化调频的基本思想是利用AD转换电路将模拟信号转换成数字信号,同时用该数字信号与一个固定的频率字累加,形成一个受模拟信号幅度控制的频率字,从而获得一个频率受模拟信号的幅度控制的正弦波,即实现了调频。该DDS数字化调频方案的硬件系统是以FPGA为核心实现的。使用Altera公司的ACEX1K系列FPGA,整个系统由VHDL语言编程,开发软件为MAX+PLUSⅡ。经过实际测试,该系统在频率较低时与理论值完全符合,但在高频时,受器件速度的限制,波形有较大的失真。

    标签: FPGA DDS 数字化 调频

    上传时间: 2013-06-14

    上传用户:ljt101007

  • 图象压缩系统中熵编解码器的FPGA设计及实现

    随着移动终端、多媒体、Internet网络、通信,图像扫描技术的发展,以及人们对图象分辨率,质量要求的不断提高,用软件压缩难以达到实时性要求,而且会带来因传输大量原始图象数据带来的带宽要求,因此采用硬件实现图象压缩已成为一种必然趋势。而熵编码单元作为图像变换,量化后的处理环节,是图像压缩中必不可少的部分。研究熵编解码器的硬件实现,具有广阔的应用背景。本文以星载视频图像压缩的硬件实现项目为背景,对熵编码器和解码器的硬件实现进行探讨,给出了并行熵编码和解码器的实现方案。熵编解码器中的难点是huffman编解码器的实现。在设计并行huffman编码方案时通过改善Huffman编码器中变长码流向定长码流转换时的控制逻辑,避免了因数据处理不及时造成数据丢失的可能性,从而保证了编码的正确性。而在实现并行的huffman解码器时,解码算法充分利用了规则化码书带来的码字的单调性,及在特定长度码字集内码字变化的连续性,将并行解码由模式匹配转换为算术运算,提高了存储器的利用率、系统的解码效率和速度。在实现并行huffman编码的基础上,结合针对DC子带的预测编码,针对直流子带的游程编码,能够对图像压缩系统中经过DWT变换,量化,扫描后的数据进行正确的编码。同时,在并行huffman解码基础上的熵解码器也可以解码出正确的数据提供给解码系统的后续反量化模块,进一步处理。在本文介绍的设计方案中,按照自顶向下的设计方法,对星载图像压缩系统中的熵编解码器进行分析,进而进行逻辑功能分割及模块划分,然后分别实现各子模块,并最终完成整个系统。在设计过程中,用高级硬件描述语言verilogHDL进行RTL级描述。利用了Altera公司的QuartusII开发平台进行设计输入、编译、仿真,同时还采用modelsim仿真工具和symplicity的综合工具,验证了设计的正确性。通过系统波形仿真和下板验证熵编码器最高频率可以达到127M,在62.5M的情况下工作正常。而熵解码器也可正常工作在62.5M,吞吐量可达到2500Mbps,也能满足性能要求。仿真验证的结果表明:设计能够满足性能要求,并具有一定的使用价值。

    标签: FPGA 图象压缩

    上传时间: 2013-05-19

    上传用户:吴之波123

  • 基于FPGA的GSM系统信道编码技术研究

    本论文是以GSM基站系统为对象研究了软件无线电思想在移动通信中应用的可行性,通过构造一个具有开放性、标准化、模块化的通用硬件平台,用软件来完成各种功能。 本文首先从整体上介绍了GSM移动通信系统及其实现过程,通过大量的Matlab仿真详细论述了GSM蜂窝通信系统中的语音编码、信道编码、交织、加密、调制等技术。 其次,文中介绍了GSM信道编码规则,其中重点阐述了CRC、卷积码和交织码的基本原理和算法实现,并完成了三者编码译码的软件设计,采用FPGA技术实现并验证了设计的正确性。 最后,对GMSK调制和解调的原理及特点进行论述,并提出了软件实现的可行性方案,为下一步的软件设计打下了坚实的基础。硬件试验平台是软件实现的基础,因此,文中进行了详细的分析与设计,并给出了部分电路设计图,对相关课题的研究具有一定的指导意义和参考价值。

    标签: FPGA GSM 信道编码

    上传时间: 2013-04-24

    上传用户:Yukiseop

  • 软件无线电调制解调系统的研究及其FPGA实现

    软件无线电是二十世纪九十年代提出的一种实现无线通信的体系结构,被认为是继模拟通信、数字通信之后的第三代无线电通信技术。它的中心思想是:构造一个开放性、标准化、模块化的通用硬件平台,并使宽带模数和数模转换器尽可能靠近天线,从而将各种功能,如工作频段、调制解调类型、数据格式、加密模式、通信协议等用软件来完成。 本论文首先介绍了软件无线电的基本原理和三种结构形式,综述了软件无线电的几项关键技术及其最新研究进展。其中调制解调模块是软件无线电系统中的重要部分,集中体现了软件无线电最显著的优点——灵活性。目前这一部分的技术实现手段多种多样。随着近几年来芯片制造工艺的飞速发展,可编程器件FPGA以其高速的处理性能、高容量和灵活的可重构能力,成为实现软件无线电技术的重要手段。 本论文调制解调系统的设计,选择有代表性的16QAM和QPSK两种方式作为研究对象,采用SystemView软件作为系统级开发工具进行集成化设计。在实现系统仿真和FPGA整体规划后,着重分析用VHDL实现其中关键模块以及利用嵌入FPGA的CPU核控制调制解调方式转换的方法。同时,在设计中成功地调用了Xilinx公司的IP核,实现了设计复用。由于FPGA内部逻辑可以根据需要进行重构,因而硬件的调试和升级变得很容易,而内嵌CPU使信号处理过程可以用软件进行控制,充分体现了软件无线电的灵活性。 通过本论文的研究,初步验证了在FPGA内实现数字调制解调过程及控制的技术可行性和应用的灵活性,并对将来的扩展问题进行了研究和讨论,为实现完整的软件无线电系统奠定了基础。

    标签: FPGA 软件无线电 调制解调

    上传时间: 2013-06-10

    上传用户:xhz1993

  • 数字相关器解调系统设计与FPGA实现

    数字相关器是无线数字接收机的重要组成部分,它主要用于对中频数字化后的信号进行解调和同步,从而恢复出原始的基带数据.本文的重点是如何高效的实现无线通信接收系统中数字中频部分,主要研究如何对MSK信号进行正确、有效、实时的解调,其内容包括1.MSK信号简介及分析,研究其特征,以便有效的对其解调.2.对解调技术中涉及的重点模块,比如NCO、CORDIC算法等做了理论上的介绍与分析.3.MSK信号的数字解调技术,比较了各种解调技术,主要是正交解调和差分解调,分析了它们的优势和劣势,并进行了仿真验证.4.在FPGA中实现了数字中频系统的各个关键模块.5.最终的解调模块在实际的PCB基板上调试通过,并应用在实际产品中.

    标签: FPGA 数字相关器 解调 系统设计

    上传时间: 2013-06-21

    上传用户:1222

  • OFDM系统中信道编码的FPGA实现及降低峰均比的研究

    低压电力线通信(PLC)具有网络分布广、无需重新布线和维护方便等优点。近年来,低压电力线通信被看成是解决信息高速公路“最后一英里”问题的一种方案,在国内外掀起了一个新的研究热潮。电力线信道中不仅存在多径干扰和子信道衰落,而且还存在开关噪声和窄带噪声,因此在电力线通信系统中,信道编码是不可或缺的重要组成部分。 本文着重研究了在FPGA上实现OFDM系统中的信道编解码方案。其中编码端由卷积码编码器和交织器组成,解码端由Viterbi译码器和解交织器组成,同时为了与PC机进行通信,还在FPGA上做了一个RS232串行接口模块,以上所有的模块均采用硬件描述语言VerilogHDL编写。另外,峰值平均功率比(PAR)较大是OFDM系统所面临的一个重要问题,必须要考虑如何降低大峰值功率信号出现的概率。本文重点研究了三种降低PAR的方法:即信号预畸变技术、信号非畸变技术和编码技术。这三种方法各有优缺点,但是迄今为止还没有一种好方法能够彻底地解决OFDM系统中较高PAR的弊病。本论文内容安排如下:第一章介绍了课题的背景,可编程器件和OFDM技术的发展历程。第二章详细介绍了OFDM的原理以及实现OFDM所采用的一些技术细节。第三章详细介绍了本课题中信道编码的方案,包括信道编码的基本原理,组成结构以及方案中采用的卷积码和交织的原理及设计。第四章详细讨论了编码方案如何在FPGA上实现,包括可编程逻辑器件FPGA/CPLD的结构特点,开发流程,以及串口通信接口、编解码器的FPGA设计。第五章详细介绍了如何降低OFDM系统中的峰值平均功率比。最后,在第六章总结全文,并对课题中需要进一步完善的方面进行了探讨。

    标签: OFDM FPGA 信道编码

    上传时间: 2013-04-24

    上传用户:520

  • 基于OFDM的PLC通信系统同步模块的FPGA实现

    电力线通信技术利用分布广泛的低压电力线作为通信信道,实现internet高速互连,为用户提供互联网访问、视频点播等服务,形成包括电力在内的“四网合一”,目前正受到人们的关注。利用该技术,可以在居民区内建立宽带接入网,也可以利用遍布家庭各个房间的电源插座组成家庭局域网。但是电力线是传输电能的,因此通过电力线传输数据有许多的问题需要解决。 OFDM(正交频分复用)技术是实现电力线通信的一项热门技术。OFDM采用添加循环前缀的技术,能有效地降低ICI(信道间干扰)和ISI(码间干扰)。同时通过使用正交的子信道,大大提高了频谱资源利用率。FPGA作为可编程逻辑器件,具有设计时间短、投资少、风险小的特点,而且可以反复修改,反复编程,直到完全满足需要,具有其他方式无可比拟的方便性和灵活性,能够加速数字系统的研发速度。本文着重研究了OFDM同步技术在FPGA上的实现。本论文主要是在项目组工作的基础上构造双路信号数据纠正算法流程,提出最佳采样点与载波相位估计算法,完善中各个子模块算法的硬件设计流程。内容安排如下:第一章介绍OFDM(正交频分复用)技术的发展历史、技术原理。第二章介绍了PLD的分类、工艺和结构特点,以及FPGA的开发环境、开发流程和Verilog语言的特点。第三章对OFDM系统的同步模块进行详细的阐述。第四章是OFDM同步算法的在FPGA上的实现,对各个子模块进行仿真,给出了仿真波形图和系统性能分析。最后,第五章总结了全文的工作,对OFDM技术的实现需要进一步完善的方面与后续工作进行了探讨。

    标签: OFDM FPGA PLC 通信系统

    上传时间: 2013-04-24

    上传用户:hgy9473