虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

显示<b>器件</b>

  • 抗干扰,抗噪LCD液晶显示驱动芯片选型表VK2C23

    产品型号:VK2C23A/B 产品品牌:永嘉微电/VINKA 封装形式:LQFP64/48 产品年份:新年份 联系人:陈先生               联系手机:188& 2466& 2436 (加V)        企鹅号:361& 888& 5898         E-mail:crh_chip@163.com 原厂直销,工程服务,技术支持,价格最具优势!   VK2C23A/B概述: VK2C23A/B是一个点阵式存储映射的LCD驱动器,可支持最大224点(56SEGx4COM)或者最大416点(52SEGx8COM)的LCD屏。单片机可通过I2C接口配置显示参数和读写显示数据,也可通过指令进入省电模式。其高抗干扰,低功耗的特性适用于水电气表以及工控仪表类产品。 特点: ★  工作电压 2.4-5.5V ★  内置32 kHz RC振荡器 ★  偏置电压(BIAS)可配置为1/3、1/4 ★  COM周期(DUTY)可配置为1/4、1/8 ★  内置显示RAM为56x4位、52x8位 ★  帧频可配置为80Hz、160Hz ★  省电模式(通过关显示和关振荡器进入)��� ★  I2C通信接口 ★  显示模式56x4、52x8 ★  3种显示整体闪烁频率 ★  软件配置LCD显示参数 ★  读写显示数据地址自动加1 ★  VLCD脚提供LCD驱动电压源(<5.5V) ★  内置16级LCD驱动电压调整电路 ★  内置上电复位电路(POR) ★  低功耗、高抗干扰 ★  此篇产品叙述为功能简介,如需要完整产品PDF资料可以联系陈先生索取!

    标签: LCD C23 VK2 2C 23 VK 抗干扰 液晶显示 驱动芯片 选型

    上传时间: 2021-12-03

    上传用户:15218646864

  • VK3604A/B小体积蓝牙音箱4键触摸触控检测芯片多种输出方式选择:锁存/直接输出

    产品型号:VK3604A 产品品牌:VINKA/永嘉微电 封装形式:SOP16 产品年份:新年份 联 系 人:陈锐鸿 Q Q:361 888 5898 联系手机:188 2466 2436(信) 概述: VK3604/VK3604A具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有较高的 集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路输出功能,可通过IO脚选择输出电平,输出模式,输出脚结构,单键/多键和最 长输出时间。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可减少按键检测错误的 发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO输 出的应用提供了一种简单而又有效的实现方法。 特点: • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:工作模式 48mS ,待机模式160mS • 通过AHLB脚选择输出电平:高电平有效或者低电平有效 • 通过TOG脚选择输出模式:直接输出或者锁存输出 • 通过SOD脚选择输出方式:CMOS输出或者开漏输出 • 通过SM脚选择输出:多键有效或者单键有效 • 通过MOT脚有效键最长输出时间:无穷大或者16S • 通过CS脚接对地电容调节整体灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF) • 上电0.25S内为稳定时间,禁止触摸 • 上电后4S内自校准周期为64mS,4S无触摸后自校准周期为1S • 封装SOP16(150mil)(9.9mm x 3.9mm PP=1.27mm) ———————————————— 产品型号:VK3604B 产品品牌:VINKA/永嘉微电 封装形式:TSSOP16 产品年份:新年份 联 系 人:陈锐鸿 1.概述 VK3604B具有4个触摸按键,可用来检测外部触摸按键上人手的触摸动作。该芯片具有 较高的集成度,仅需极少的外部组件便可实现触摸按键的检测。 提供了4路直接输出功能。芯片内部采用特殊的集成电路,具有高电源电压抑制比,可 减少按键检测错误的发生,此特性保证在不利环境条件的应用中芯片仍具有很高的可靠性。 此触摸芯片具有自动校准功能,低待机电流,抗电压波动等特性,为各种触摸按键+IO 输出的应用提供了一种简单而又有效的实现方法。   特点  • 工作电压 2.4-5.5V • 待机电流7uA/3.3V,14uA/5V • 上电复位功能(POR) • 低压复位功能(LVR)  • 触摸输出响应时间:  工作模式 48mS 待机模式160mS • CMOS输出,低电平有效,支持多键  • 有效键最长输出16S • 无触摸4S自动校准  • 专用脚接对地电容调节灵敏度(1-47nF)  • 各触摸通道单独接对地小电容微调灵敏度(0-50pF). • 上电0.25S内为稳定时间,禁止触摸. • 封装 TSSOP16L(4.9mm x 3.9mm PP=1.00mm) KPP841 标准触控IC-电池供电系列: VKD223EB --- 工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面  最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD223B ---  工作电压/电流:2.0V-5.5V/5uA-3V   感应通道数:1    通讯界面   最长回应时间快速模式60mS,低功耗模式220ms    封装:SOT23-6 VKD233DB --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6   通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DH ---工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:SOT23-6  通讯界面:直接输出,锁存(toggle)输出  有效键最长时间检测16S VKD233DS --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流2.5uA-3V VKD233DR --- 工作电压/电流:2.4V-5.5V/1.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出  低功耗模式电流1.5uA-3V VKD233DG --- 工作电压/电流:2.4V-5.5V/2.5uA-3V  1感应按键  封装:DFN6(2*2超小封装) 通讯界面:直接输出,锁存(toggle)输出   低功耗模式电流2.5uA-3V  VKD233DQ --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 通讯界面:直接输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD233DM --- 工作电压/电流:2.4V-5.5V/5uA-3V  1感应按键  封装:SOT23-6 (开漏输出) 通讯界面:开漏输出,锁存(toggle)输出    低功耗模式电流5uA-3V  VKD232C  --- 工作电压/电流:2.4V-5.5V/2.5uA-3V   感应通道数:2  封装:SOT23-6   通讯界面:直接输出,低电平有效  固定为多键输出模式,内建稳压电路 MTP触摸IC——VK36N系列抗电源辐射及手机干扰: VK3601L  --- 工作电压/电流:2.4V-5.5V/4UA-3V3  感应通道数:1  1对1直接输出 待机电流小,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOT23-6 VK36N1D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:1  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK36N2P --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2    脉冲输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOT23-6 VK3602XS ---工作电压/电流:2.4V-5.5V/60UA-3V  感应通道数:2  2对2锁存输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK3602K --- 工作电压/电流:2.4V-5.5V/60UA-3V   感应通道数:2   2对2直接输出 低功耗模式电流8uA-3V,抗电源辐射干扰,宽供电电压   封装:SOP8 VK36N2D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:2   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏封装:SOP8 VK36N3BT ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码锁存输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BD ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码直接输出 触摸积水仍可操作,抗电源及手机干扰,可通过CAP调节灵敏  封装:SOP8 VK36N3BO ---工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  BCD码开漏输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP8/DFN8(超小超薄体积) VK36N3D --- 工作电压/电流:2.2V-5.5V/7UA-3V3  感应通道数:3  1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N4I---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:4    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5D ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N5I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:5    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6D --- 工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6   1对1直接输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N6I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:6    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N7I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:7    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8B ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    BCD输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N8I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:8    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N9I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:9    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) VK36N10I ---工作电压/电流:2.2V-5.5V/7UA-3V3   感应通道数:10    I2C输出 触摸积水仍可操作,抗电源及手机干扰  封装:SOP16/DFN16(超小超薄体积) 1-8点高灵敏度液体水位检测IC——VK36W系列 VK36W1D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:1 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOT23-6 备注:1. 开漏输出低电平有效  2、适合需要抗干扰性好的应用 VK36W2D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:2 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP8 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W4D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:4 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出   2、输出模式/输出电平可通过IO选择 VK36W6D  ---工作电压/电流:2.2V-5.5V/10UA-3V3  1对1直接输出  水位检测通道:6 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  1对1直接输出    2、输出模式/输出电平可通过IO选择 VK36W8I  ---工作电压/电流:2.2V-5.5V/10UA-3V3  I2C输出    水位检测通道:8 可用于不同壁厚和不同水质水位检测,抗电源/手机干扰封装:SOP16/DFN16 备注:1.  IIC+INT输出     2、输出模式/输出电平可通过IO选择  KPP841

    标签: 3604 输出 VK 体积 蓝牙音箱 检测 方式 芯片 触控 锁存

    上传时间: 2022-04-11

    上传用户:shubashushi66

  • 无功功率自动补偿控制器

    1) 全数字化设计,交流采样,人机界面采用大屏幕点阵图形128X64 LCD中文液晶显示器。 2) 可实时显示A、B、C各相功率因数、电压、电流、有功功率、无功功率、电压总谐波畸变率、电流总谐波畸变率、电压3、5、7、9、11、13次谐波畸变率、电流3、5、7、9、 11、13次谐波畸变率频率、频率、电容输出显示及投切状态、报警等信息。 3) 设置参数中文提示,数字输入。 4) 电容器控制方案支持三相补偿、分相补偿、混合补偿方案,可通过菜单操作进行设置。 5) 电容器投切控制程序支持等容/编码(1:2、 1:2:3、 1:2:4:8…)等投切方式。 6) 具有手动补偿/自动补偿两种工作方式。 7) 提供电平控制输出接口(+12V),动态响应优于20MS。 8) 取样物理量为无功功率,具有谐波测量及保护功能。 9) 控制器具有RS-485通讯接口,MODBUS标准现场总线协议,方便接入低压配电系统。

    标签: 无功功率 控制器 自动补偿

    上传时间: 2013-11-09

    上传用户:dancnc

  • AVR单片机数码管秒表显示

    #include<iom16v.h> #include<macros.h> #define uint unsigned int #define uchar unsigned char uint a,b,c,d=0; void delay(c) { for for(a=0;a<c;a++) for(b=0;b<12;b++); }; uchar tab[]={ 0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,

    标签: AVR 单片机 数码管

    上传时间: 2013-10-21

    上传用户:13788529953

  • 基于C8051F060单片机控制AD9833实现FSK调制

    引言 在数字信息传输中,基带数字信号通常要经过调制器调制,将频率搬移到适合信息传输的频段上。2FSK就是用数字信号去调制载波的频率(移频键控),由于它具有方法简单、易于实现、抗噪声和抗衰落性能较强等优点,因此在现代数字通信系统的低、中速数据传输中得到了广泛应用。 直接数字频率合成技术(DDS)将先进的数字处理技术与方法引入信号合成领域。DDS器件采用高速数字电路和高速D/A转换技术,具备频率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程控切换等优点,可以实现对信号的全数字式调制。

    标签: C8051F060 9833 FSK AD

    上传时间: 2014-12-27

    上传用户:1427796291

  • EWB仿真双踪示波器

    双踪示波器图标如图5.3.1所示,面板如图5.3.2所示。EWB的示波器外观及操作与实际的双踪示波器相似,可同时显示A、B两信号的幅度和频率变化,并可以分析周期信号大小、频率值以及比较两个信号的波形。

    标签: EWB 仿真 示波器

    上传时间: 2013-11-23

    上传用户:zhangfx728

  • Demo程序经Keil701编译后

    Demo程序经Keil701编译后,代码量为7-8K,可直接在KeilC51上仿真运行。 使用方法:解压后双击yy项目,点调试即可在串口仿真看到结果。 Demo程序创建了3个任务A、B、C优先级分别为2、3、4,A每秒显示一次,B每3秒显示一次,C每6秒显示一次。从显示结果看,显示3个A后显示1个B,显示6个A和2个B后显示1个C,结果显然正确。用户可以仿照范例运用更多系统API函数写出自己的程序。只要程序中有显示语句就可以用软件仿真器看结果。注意:系统提供的显示函数是并发的,他不是直接显示到串口,而是先输出到显存,用户不必担心IO慢速操作影响程序运行。串口输入也采用了同样的技术,他使得用户在CPU忙于处理其他任务时照样可以盲打输入命令。 将EXL2-shell目录下的文件覆盖yy目录下的同名文件,将word.c、yyshell.c、yyshellsub.c、mystring.c加入项目,删除yy1.c,编译后调试即可。输入help可得到在线帮助,具体命令用法见文章说明。 yangye网友推荐http://www.sics.se/~adam/lwip/网站学习TCPIP,该网站开放源代码的lwip是专为8bit和16bitMCU设计的TCPIP协议栈,已在多种CPU上移植成功,推荐大家下载。

    标签: Demo Keil 701 程序

    上传时间: 2014-11-01

    上传用户:hopy

  • 一、课程设计题目、内容、要求 题目:《学生成绩管理系统》(第二套 难度:2) 内容:按要求完成的基础上

    一、课程设计题目、内容、要求 题目:《学生成绩管理系统》(第二套 难度:2) 内容:按要求完成的基础上,增加了输入学号查询学生记录的功能,和错误输入报错功能 要求: 1)输入将本班学生的信息(每个学生至少包括学号、姓名、科目成绩(三门)、学分、平均分)。 A.要求编写函数sort对个学生按某个条件(比如某科成绩,学号,学分)升序或降序(可选择)排序,并将结果(包括名次、学号、姓名、成绩、学分、平均分)显示出来。 B.编写函数find,用折半法查找某科某个成绩,并将结果打印出来。 C.要求编写函数fun找出所有及格的同学,并将他们的信息存显示出来。

    标签: 管理系统

    上传时间: 2015-11-12

    上传用户:徐孺

  • 猜数字游戏 游戏说明: 1、游戏开始

    猜数字游戏 游戏说明: 1、游戏开始,电脑随机产生一个数字不重复的四位数。 2、将您猜的数点击OK按钮提交。 3、电脑会将您提交的数与它自动产生的数进行比较,结果显示成"*A*B"。A代表位置正确数字也正确,B代表数字 正确但位置不正确,比如:"2A2B"表示您有2个数字的位置正确且数值也正确,除此以外,您还猜对了2个数字 ,但位置不对。 4、您共有10次机会,在10次内,如果结果为“4A0B”,游戏成功。如果10次里您都没有猜对游戏失败。

    标签: 数字

    上传时间: 2013-12-14

    上传用户:zhouli

  • (免费)基于FPGA的机器人视觉系统模块的设计.doc

    基于FPGA的机器人视觉系统模块的设计 关键字: 机器人 视觉系统 集成电路 FPGA     一、概述   视觉技术是近几十年来发展的一门新兴技术。机器视觉可以代替人类的视觉从事检验、目标跟踪、机器人导向等方面的工作,特别是在那些需要重复、迅速的从图象中获取精确信息的场合。尽管在目前硬件和软件技术条件下,机器视觉功能还处于初级水平,但其潜在的应用价值引起了世界各国的高度重视,发达国家如美国、日本、德国、法国等都投入了大量的人力物力进行研究,近年来已经在机器视觉的某些方面获得了突破性的进展,机器视觉在车辆安全技术、自动化技术等应用中也越来越显示出其重要价值。本文根据最新的CMOS图像采集芯片设计了一种通用的视觉系统模块,经过编制不同的图像处理、模式识别算法程序本模块可以应用到足球机器人,无人车辆等各种场合。

    标签: FPGA的机器人视觉系统

    上传时间: 2015-04-25

    上传用户:justgo123