虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

整波

  • 小波变换研究及其FPGA实现

    傅里叶变换是信号处理领域中较完善、应用较广泛的一种分析手段.但傅里叶变换只是一种时域或频域的分析方法,它要求信号具有统计平稳,即时不变的特性.但是实际应用中存在很多非平稳信号,它们并不能很好的用傅立叶变换来处理.小波变换的出现解决了这个问题,它在处理非平稳信号方面具有傅立叶变换无法比拟的优越性.小波变换在通信技术、信号处理、地球物理、水利电力、医疗等领域中获得了日益广泛的应用.小波变换的研究成为了当今学术界的一个热点.随着现代数字信号处理朝着高速实时的方向发展,纯软件的程序式信号处理方法越来越不能满足实际应用的需求,因此人们希望用硬件电路来实现高速信号处理问题.基于以上原因,该文在研究了小波变换的基本理论和特点的基础上,重点研究了小波变换的VLSI电路构架,并用FPGA实现了它的功能.毫无疑问,该文所做的具体工作在理论和实践上都有参考价值.论文中,在简单介绍了小波变换的基本理论、特点和应用;对信号小波变换分解,重构的MATLAB算法进行了分析,为硬件实现奠定了理论基础.论文在研究了小波核心算法MALLAT算法的基础上,以直观的图形方式描述了算法的流程图;并由此提出了基于VLSI的电路模块架构.根据上述模块结构,对相关模块进行了硬件描述语言(VERILOG-HDL)的建模,并且在仿真平台上(ACTIVE-HDL)进行了仿真.在仿真正确的前提下,该文选用了EP20K100BC356-1V芯片作为目标器件进行了综合和后仿真,并且将仿真结果通过MATLAB与理论参数进行了比较,结果表明设计是正确的.对设计中存在的误差和部分模块的进一步优化,该文也作了分析和说明,为下一步实现通用IP核设计奠定了基础.

    标签: FPGA 小波变换

    上传时间: 2013-06-27

    上传用户:zhaoq123

  • 二维离散小波变换的FPGA实现

    小波变换是一种新兴的理论,是数学发展史上的重要成果。它无论对数学还是对工程应用都产生了深远的影响。最新的静态图像压缩标准JPEG2000就以离散小波变换(DWT)作为核心变换算法。 本文首先较为详细地分析了小波变换的理论基础,对多分辨率分析、Mallat算法和提升算法做了介绍。然后分析了JPEG2000所采用的小波滤波器,并引入了一个新的LS97小波。该小波系数简单、易于硬件实现,并且与CDF97小波有很好的兼容性,可作为CDF97小波的替代者。使用Matlab对CDF97小波和LS97小波的兼容性做仿真测试,结果表明这两个小波具有几乎相同的性能。在确定所用的小波后,本文设计了二维离散小波变换的硬件结构。设计过程中对标准二维小波变换做了优化,即将行变换和列变换的归一化步骤合并计算,这样可以减少两次乘法操作。另外还使用移位加代替乘法,提取移位加中的公共算子等方式来优化设计。对于边界数据的处理,本文采用了嵌入式对称延拓技术,不需要额外的缓存,节约了硬件资源。为提高硬件利用率,本文将LeGall53小波变换和LS97小波变换统一起来,只要一个控制信号就可实现两者之间的转换。本文所提出的结构采用基于行的变换方式,只需要六行中间数据即可完成全部行数据的小波变换。采用流水线技术提高了整个设计的运行速度。最后也给出了二维离散小波反变换的实现结构。 在完成硬件结构设计的基础上,使用Verilog硬件描述语言对整个设计进行了完全可综合的RTL级描述,采用同步设计,提高了可靠性。在Xilinx公司的FPGA开发软件ISE6.3i中对正反小波变换做了仿真和实现,结果表明,本设计能高速高精度地完成正反可逆和不可逆小波变换,可以满足各种实时性要求。

    标签: FPGA 二维 离散小 波变换

    上传时间: 2013-07-25

    上传用户:sn2080395

  • 10种精密全波整流电路

    十种精密全波整流电路 图中精密全波整流电路的名称,纯属本人命的名,只是为了区分;除非特殊说明,增益均按1设计.    图1是最经典的电路,优

    标签: 精密 全波整流 电路

    上传时间: 2013-07-21

    上传用户:zoushuiqi

  • 正弦波逆变器原理图

    正弦波逆变器原理图,网上下载,做了一个,感觉不错,

    标签: 正弦波逆变器 原理图

    上传时间: 2013-05-24

    上传用户:s蓝莓汁

  • Morlet小波分析的BOTDR信号处理

    基于布里渊散射的分布式光纤传感器是当前国内外研究的热点。本文介绍了基于布里渊散射的分布式光纤传感器的的原理、应用;布里渊时域反射技术(BOTDR)和布里渊时域分析技术(BOTDA)的原理。 受激布里渊散射(SBS)的过程中,入射光和散射光满足耦合振幅方程组。我们对该方程组采用有限差分法进行数值计算,并用Matlab模拟计算过程,对布里渊散射信号进行分析。 根据布里渊散射信号的特点,我们采用基于Morlet小波变换的DSP信号算法来处理 BOTDR传感信号。通过对该算法的核心单元——快速傅立叶变换(FFT)的硬件实现,我们在Stratix FPGA上实现了基于Morlet小波变换的DSP算法的硬件电路设计。 最后,在此基础上,我们对电路功能进行实际的仿真和验证,并和Matlab得到结果进行比较和分析。

    标签: Morlet BOTDR 小波分析 信号处理

    上传时间: 2013-07-22

    上传用户:牛布牛

  • 基于FPGA的回波抵消器设计与实现

    回波抵消器在免提电话、无线产品、IP电话、ATM语音服务和电话会议等系统中,都有着重要的应用。在不同应用场合对回波抵消器的要求并不完全相同,本文主要研究应用于电话系统中的电回波抵消器。电回波是由于语音信号在电话网中传输时由于阻抗不匹配而产生的。 传统回波抵消器主要是基于通用DSP处理器实现的,这种回波抵消器在系统实时性要求不高的场合能很好的满足回波抵消的性能要求,但是在实时性要求较高的场合,其处理速度等性能方面已经不能满足系统高速、实时的需要。现代大容量、高速度的FPGA的出现,克服了上诉方案的诸多不足。用FPGA来实现数字信号处理可以很好地解决并行性和速度问题,且其灵活的可配置特性使得FPGA构成的DSP系统非常易于修改、测试和硬件升级。 本文研究目标是如何在FPGA芯片上实现回波抵消器,完成的主要工作有: (1)深入研究了回波抵消器各模块算法,包括自适应滤波算法、远端检测算法、双讲检测算法、NLP算法、舒适噪声产生算法,并实现了这些算法的C程序。 (2)深入研究了回波抵消器基于FPGA的设计流程与实现方法,并利用硬件描述语言Verilog HDL实现了各部分算法。 (3)在OuartusⅡ和ModelSim仿真环境下对该系统进行模块级和系统级的功能仿真、时序仿真和验证。并在FPGA硬件平台上实现了该系统。 (4)根据ITU-T G.168的标准和建议,对设计进行了大量的主、客测试,各项测试结果均达到或优于G.168的要求。

    标签: FPGA 回波抵消器

    上传时间: 2013-06-23

    上传用户:123啊

  • 基于FPGA的远程视频传输系统

    本文对基于FPGA的远程视频传输系统进行了研究。主要内容如下: (1)在系统发送端将数据采集等逻辑控制和图像压缩集成在一片FPGA上,此方案减小了系统体积,提高了系统的集成度。 (2)系统图像压缩部分基于FPGA的二维小波变换的设计与实现,选用5/3整数提升小波,提升过程采用折叠结构可以节省系统的资源。采用FPGA实现小波变换与使用DSP处理器的“DSP+ASIC”方案相比,具有速度快,数据宽度可任意设置的特点,并且VHDL语言具有可移植性的特点,具有更强的通用性。 (3)数据采集时采用乒乓操作存储轮流向两片外部存储器存、取采集的图像数据,能够保证图像整帧采集和稳定连续的数据压缩和数据传输,节约缓存空间,提高了速度,优于单存储器的方法。

    标签: FPGA 远程视频 传输系统

    上传时间: 2013-06-01

    上传用户:superhand

  • 自适应回波消除器研究及其FPGA实现

    回波消除器广泛应用于公用电话交换网(PSTN)、移动通信系统和视频电话会议系统等多种语音通信领域。在PSTN系统中,由于线路阻抗不匹配,远端语音信号通过混合线圈时产生一定泄漏,一部分信号又传回远端,产生线路回波,回波的存在会严重影响语音通信质量。本文主要针对线路回波进行研究,设计并实现了满足实用要求的基于FPGA平台的回波消除器。 首先,对回波产生原理和目前几种常用回波消除算法进行了分析,在研究自适应回波消除器的各个模块,特别是深入分析各种自适应滤波算法和双讲检测算法,综合考虑各种算法的运算复杂度和性能的情况下,这里采用NLMS算法实现自适应回波消除器。针对传统双讲检测算法在近端语音幅度较低情况下容易产生误判的情况,给出一种基于子带滤波器组的改进双讲检测算法。 本文首先使用C语言实现回波消除器的各个模块,其中包括自适应滤波器、远端检测、双讲检测、非线性处理和舒适噪声产生模块。经过仿真测试,相关模块算法能够有效提高回波消除器性能。在此基础上,本文使用硬件描述语言Veillog HDL,在QuartusⅡ和ModelSim软件平台上实现各功能模块,并通过模块级和系统级功能仿真以及时序仿真验证,最终在现场可编程门阵列(Field Programmable Gate Arrav,FPGA)平台上实现回波消除系统。本文详细阐述了基于FPGA的设计流程与设计方法,并描述了自适应滤波器、基于分布式算法FIR滤波器、除法器和有限状态机的设计过程。 根据ITU-T G.168标准提出的测试要求,本文埘基于FPGA设计实现的自适应回波消除系统进行大量主客观测试。经过测试,各项性能指标均达到或超过G.168标准的要求,具有良好的回波消除效果。

    标签: FPGA 回波 消除器

    上传时间: 2013-06-18

    上传用户:qwe1234

  • 高频课程设计 LC正弦波振荡器

     正弦波振荡器在各种电子设备中有着广泛的应用。它是一种能自动地将直流电源能量转换为一定波形的交变振荡信号能量的转换电路。它与放大器的区别在于,无需外加激励信号,就能产生具有一定频率、一定波形和一定振幅的交流信号。

    标签: 高频 正弦波振荡器

    上传时间: 2013-04-24

    上传用户:feilinhan

  • 基于小波变换的图像去噪算法研究

    随着多媒体技术的发展,数字图像处理已经成为众多应用系统的核心和基础。它的发展主要依赖于两个性质不同、自成体系但又紧密相关的研究领域:图像处理算法及其相应的电路实现。图像处理系统的硬件实现—般有三种方式:专用的图像处理器件集成芯片(Application Specific Integrated Circuit)、数字信号处理器(Digital Signal Process)和现场可编程门阵列(Field Programmable Gate Array)以及相关电路组成。它们可以实时高速完成各种图像处理算法。图像处理中,低层的图像预处理的数据量很大,要求处理速度快,但运算结果相对比较简单。相对于其他两种方式,基于FPGA的图像处理方式的系统更适合于图像的预处理。本文设计了—种基于FPGA的小波域图像去噪系统。首先,阐述了基于小波变换的图像去噪算法原理,重点讨论了小波邻域阈值(NeighShrink)去噪算法,并给出了该算法相应的Matlab 仿真;然后,为了改进邻域阈值去噪算法中对每个分解子带都采用相同邻域和阈值的缺点,本文提出了基于最小二乘支持向量机(LS-SVM)分类的邻域阈值去噪算法和以斯坦无偏估计 (SURE)为准则同时结合小波系数尺度间关系的邻域阈值去噪算法。经Matlab实验表明,相比于其他几种经典算法,本文提出的两种改进算法在滤除噪声的同时能更好地保护图像细节,并在较高噪声情况下能获得更高的峰值信噪比。在此基础上本文将提出的改进小波邻域阈值去噪算法进行了相应的简化,以满足低噪声处理要求且易于在FPGA上实现;最后,给出了基于 FPGA的小波邻域阈值去噪系统的总体结构和FPGA内部各功能模块的具体实现方案,包括二维离散小波变换模块、二维离散小波逆变换模块、SDRAM存储器控制模块、去噪计算模块和系统核心控制模块,并对各个系统模块和整体进行了仿真验证,结果表明本文设计的基于FPGA 的小波邻域阈值去噪系统能满足实际的图像处理要求,具有一定的理论和实际应用价值。关键词:图像处理系统,FPGA,图像去噪算法,小波变换

    标签: 小波变换 图像去噪 算法研究

    上传时间: 2013-05-16

    上传用户:450976175