虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

数据通路

  • SPCE061A实现数据采集与传输系统.doc

    SPCE061A实现数据采集与传输系统.doc

    标签: SPCE 061A 061

    上传时间: 2013-10-15

    上传用户:axin881314

  • dsp30f4011数据手册

    dsp30f4011的数据手册

    标签: f4011 4011 dsp 30f

    上传时间: 2013-10-08

    上传用户:ysystc670

  • 基于DSP和FPGA的汽车防撞高速数据采集系统

    随着信息技术的不断发展,数据采集技术已成为重要的现代化的工具,并且其应用范围也在不断扩大,在通信、雷达、医疗、遥测遥感等领域得到了广泛的应用。本文为了汽车防撞报警设备高速信号处理的目的,采用了DSP和FPGA处理器加上相关算法,实现了对激光雷达回波信号能够高速的采集和处理。

    标签: FPGA DSP 汽车防撞 高速数据

    上传时间: 2013-11-20

    上传用户:cppersonal

  • 基于TMS320VC5509与AD7322的数据采集系统的设计

    设计了一种采用TI公司的C5000系列定点DSP 芯片TMS320VC5509和ADI Device公司的2通道的、软件可选的、双极性输入的、最高转换速率是1MSpS、12位的带符号的逐次逼近型串行AD7322的数据采集系统,并阐述了该系统的主要硬件电路的搭建原理、连接方法以及采集过程。

    标签: 5509 7322 TMS 320

    上传时间: 2013-11-18

    上传用户:天诚24

  • ARM与DSP之间视频数据可靠传输的设计与实现

    在由ARM+DSP组成的嵌入式视频处理平台当中,需要将视频数据从DSP端发送给ARM处理器,以便ARM将视频数据传输到远端服务器进行处理。提供了一种ARM与DSP双核之间视频数据通信的解决方案,并介绍了ARM与DSP之间通过HPI进行连接的硬件电路设计。在HPI接口驱动程序的设计中,基于Linux中断处理机制定义并实现了一种实用的视频数据通信协议,从而实现了ARM与DSP双核之间视频数据的可靠传榆。

    标签: ARM DSP 视频数据 传输

    上传时间: 2013-11-09

    上传用户:xg262122

  • MATLAB与PSpice数据接口技术

    摘 要 瞬态仿真领域的许多工作需要获得可视化数据, 仿真电路不能将输出参数绘制成图形时研究工作将受到很大影响. 而权威电路仿真软件PSpice 在这个方面不尽如人意. 本文提出了一种有效的解决办法: 通过MATLAB 编程搭建一个PSpice 与MATLAB 的数据接口,使PSpice输出数据文件可以导入到MATLAB中绘制图形. 这令我们能够很方便地获得数据的规律以有效地分析仿真结果, 这项技术对于教学和工程实践都有比较实际的帮助.关键词: 瞬态仿真 仿真程序 PSpice MATLAB 可视化数据The Data Transfer from Pspice to MATLABWu hao Ning yuanzhong Liang yingAbstract Many works in the area of transient simulation has shown how a emulator such asPSpice can be interfaced to an control analysis package such as MATLAB to get viewdata. Thepaper describes how such interfaces can be made using the MATLAB programming. The platformas a typical platform will solve the problem that PSpice software sometimes can not draw the datato a picture. It can make us find the rule from numerous data very expediently, so we can analyzethe outcome of the simulation. And it also can be used in the field of education.Keywords Transient Simulation Emulator PSpice MATLAB Viewdata1 引言科学研究和工程应用常需要进行电路仿真 PSpice可进行直流 交流 瞬态等基本电路特性分析 也可进行蒙托卡诺 MC 统计分析 最坏情况 Wcase 分析 优化设计等复杂电路特性分析 它是国际上仿真电路的权威软件 而MATLAB的主要特点有 高效方便的矩阵和数组运算 编程效率高 结构化面向对象 方便的绘图功能 用户使用方便 工具箱功能强大 两者各有着重点 两种软件结合应用 对研究工作有很重要的意义香港理工大学Y. S. LEE 等人首先将PSpice和MATLAB结合 开发了电力电子电路优化用的CAD 程序MATSPICE[6] 将两者相结合的关键在于 如何用MATLAB 获取PSpice的仿真数据 对此参考文献 6 里没有详细叙述 本文着重说明用MATLAB 读取PSpice仿真数据的具体方法本论文利用MATLAB对PSpice仿真出的数据处理绘制出后者无法得到或是效果不好的仿真图形 下面就两者结合使用的例子 进行具体说明

    标签: MATLAB PSpice 数据 接口技术

    上传时间: 2013-10-20

    上传用户:wuchunzhong

  • NiosⅡ和USB接口的高速数据采集卡设计

    基于fpga的高速数据采集卡设计制作

    标签: Nios USB 接口 高速数据

    上传时间: 2014-12-28

    上传用户:cx111111

  • FPGA直接读取SD卡扇区数据

    FPGA直接读取SD卡扇区数据 FPGA代码

    标签: FPGA 读取 SD卡 数据

    上传时间: 2013-11-06

    上传用户:sqq

  • JPEG2000数据压缩的FPGA实现

    高性能的数据压缩可以有效的减少数据对存储空间和通信带宽的要求,降低通信成本。为解决图像数据的高压缩性能问题,本文提出了基于JPEG2000标准的数据压缩系统的FPGA实现方案。相对于软件算法实现和其他硬件方法,采用FPGA硬件实现可降低系统复杂度提高性能。最终设计的IP核具有资源占用少,性能良好和便于扩展等优点,能够满足通信传输和照相设备等应用需求。

    标签: JPEG 2000 FPGA 数据压缩

    上传时间: 2013-12-17

    上传用户:cjl42111

  • Verilog_HDL的基本语法详解(夏宇闻版)

            Verilog_HDL的基本语法详解(夏宇闻版):Verilog HDL是一种用于数字逻辑电路设计的语言。用Verilog HDL描述的电路设计就是该电路的Verilog HDL模型。Verilog HDL既是一种行为描述的语言也是一种结构描述的语言。这也就是说,既可以用电路的功能描述也可以用元器件和它们之间的连接来建立所设计电路的Verilog HDL模型。Verilog模型可以是实际电路的不同级别的抽象。这些抽象的级别和它们对应的模型类型共有以下五种:   系统级(system):用高级语言结构实现设计模块的外部性能的模型。   算法级(algorithm):用高级语言结构实现设计算法的模型。   RTL级(Register Transfer Level):描述数据在寄存器之间流动和如何处理这些数据的模型。   门级(gate-level):描述逻辑门以及逻辑门之间的连接的模型。   开关级(switch-level):描述器件中三极管和储存节点以及它们之间连接的模型。   一个复杂电路系统的完整Verilog HDL模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。   Verilog HDL行为描述语言作为一种结构化和过程性的语言,其语法结构非常适合于算法级和RTL级的模型设计。这种行为描述语言具有以下功能:   · 可描述顺序执行或并行执行的程序结构。   · 用延迟表达式或事件表达式来明确地控制过程的启动时间。   · 通过命名的事件来触发其它过程里的激活行为或停止行为。   · 提供了条件、if-else、case、循环程序结构。   · 提供了可带参数且非零延续时间的任务(task)程序结构。   · 提供了可定义新的操作符的函数结构(function)。   · 提供了用于建立表达式的算术运算符、逻辑运算符、位运算符。   · Verilog HDL语言作为一种结构化的语言也非常适合于门级和开关级的模型设计。因其结构化的特点又使它具有以下功能:   - 提供了完整的一套组合型原语(primitive);   - 提供了双向通路和电阻器件的原语;   - 可建立MOS器件的电荷分享和电荷衰减动态模型。   Verilog HDL的构造性语句可以精确地建立信号的模型。这是因为在Verilog HDL中,提供了延迟和输出强度的原语来建立精确程度很高的信号模型。信号值可以有不同的的强度,可以通过设定宽范围的模糊值来降低不确定条件的影响。   Verilog HDL作为一种高级的硬件描述编程语言,有着类似C语言的风格。其中有许多语句如:if语句、case语句等和C语言中的对应语句十分相似。如果读者已经掌握C语言编程的基础,那么学习Verilog HDL并不困难,我们只要对Verilog HDL某些语句的特殊方面着重理解,并加强上机练习就能很好地掌握它,利用它的强大功能来设计复杂的数字逻辑电路。下面我们将对Verilog HDL中的基本语法逐一加以介绍。

    标签: Verilog_HDL

    上传时间: 2013-11-23

    上传用户:青春给了作业95