虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

数字控制软件

  • 基于DSP和FPGA的数字化开关电源

    文章开篇提出了开发背景。认为现在所广泛应用的开关电源都是基于传统的分立元件组成的。它的特点是频率范围窄、电力小、功能少、器件多、成本较高、精度低,对不同的客户要求来“量身定做”不同的产品,同时几乎没有通用性和可移植性。在电子技术飞速发展的今天,这种传统的模拟开关电源已经很难跟上时代的发展步伐。 随着DSP、ASIC等电子器件的小型化、高速化,开关电源的控制部分正在向数字化方向发展。由于数字化,使开关电源的控制部分的智能化、零件的共通化、电源的动作状态的远距离监测成为了可能,同时由于它的智能化、零件的共通化使得它能够灵活地应对不同客户的需求,这就降低了开发周期和成本。依靠现代数字化控制和数字信号处理新技术,数字化开关电源有着广阔的发展空间。 在数字化领域的今天,最后一个没有数字化的堡垒就是电源领域。近年来,数字电源的研究势头与日俱增,成果也越来越多。虽然目前中国制造的开关电源占了世界市场的80%以上,但都是传统的比较低端的模拟电源。高端市场上几乎没有我们份额。 本论文研究的主要内容是在传统开关电源模拟调节器的基础上,提出了一种新的数字化调节器方案,即基于DSP和FPGA的数字化PID调节器。论文对系统方案和电路进行了较为具体的设计,并通过测试取得了预期结果。测试证明该方案能够适合本行业时代发展的步伐,使系统电路更简单,精度更高,通用性更强。同时该方案也可用于相关领域。 本文首先分析了国内外开关电源发展的现状,以及研究数字化开关电源的意义。然后提出了数字化开关电源的总体设计框图和实现方案,并与传统的开关电源做了较为详细的比较。本论文的设计方案是采用DSP技术和FPGA技术来做数字化PID调节,通过数字化PID算法产生PWM波来控制斩波器,控制主回路。从而取代传统的模拟PID调节器,使电路更简单,精度更高,通用性更强。传统的模拟开关电源是将电流电压反馈信号做PID调节后--分立元器件构成,采用专用脉宽调制芯片实现PWM控制。电流反馈信号来自主回路的电流取样,电压反馈信号来自主回路的电压采样。再将这两个信号分别送至电流调节器和电压调节器的反相输入端,用来实现闭环控制。同时用来保证系统的稳定性及实现系统的过流过压保护、电流和电压值的显示。电压、电流的给定信号则由单片机或电位器提供。再次,文章对各个模块从理论和实际的上都做了仔细的分析和设计,并给出了具体的电路图,同时写出了软件流程图以及设计中应该注意的地方。整个系统由DSP板和ADC板组成。DSP板完成PWM生成、PID运算、环境开关量检测、环境开关量生成以及本地控制。ADC板主要完成前馈电压信号采集、负载电压信号采集、负载电流信号采集、以及对信号的一阶数字低通滤波。由于整个系统是闭环控制系统,要求采样速率相当高。本系统采用FPGA来控制ADC,这样就避免了高速采样占用系统资源的问题,减轻了DSP的负担。DSP可以将读到的ADC信号做PID调节,从而产生PWM波来控制逆变桥的开关速率,从而达到闭环控制的目的。 最后,对数字化开关电源和模拟开关电源做了对比测试,得出了预期结论。同时也提出了一些需要改进的地方,认为该方案在其他相关行业中可以广泛地应用。模拟控制电路因为使用许多零件而需要很大空间,这些零件的参数值还会随着使用时间、温度和其它环境条件的改变而变动并对系统稳定性和响应能力造成负面影响。数字电源则刚好相反,同时数字控制还能让硬件频繁重复使用、加快上市时间以及减少开发成本与风险。在当前对产品要求体积小、智能化、共通化、精度高和稳定度好等前提条件下,数字化开关电源有着广阔的发展空间。本系统来基本上达到了设计要求。能够满足较高精度的设计要求。但对于高精度数字化电源,系统还有值得改进的地方,比如改进主控器,提高参考电压的精度,提高采样器件的精度等,都可以提高系统的精度。 本系统涉及电子、通信和测控等技术领域,将数字PID算法与电力电子技术、通信技术等有机地结合了起来。本系统的设计方案不仅可以用在电源控制器上,只要是相关的领域都可以采用。

    标签: FPGA DSP 数字化 开关电源

    上传时间: 2013-06-21

    上传用户:498732662

  • PCI总线图像采集卡的设计与实现

    图像采集系统是数字图像信号处理过程中不可缺少的重要部分,它将前端相机所捕获的模拟信号转化为数字信号,或者直接从数字相机中获取数字信号,然后通过高速的计算机总线传回计算机,凭借计算机的强大的运算、数据存储与处理等操作能力,可以方便快捷地对信号进行分析处理,具有人机友好、功能灵活、可移植性强等优点。随着对数据传送速度要求的提高,PCI总线以其高的数据传输率,即插即用,低功耗等众多优点,得到广泛的应用。本文针对PCI总线接口电路使用的广泛性,介绍了PLX公司桥接芯片PCI9054主模式的工作原理和中断机制,采用可编程逻辑器件FPGA实现与PCI9054的本地接口的信号转换,给出了逻辑实现方案和仿真图。本文针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。在文章的软件设计部分介绍了WinDriver驱动开发工具,利用WinDriver工具,在WindowsXP系统下实现设备的驱动程序开发,完成主模式数据传输和设备中断的功能。

    标签: PCI 总线 图像采集 卡的设计

    上传时间: 2013-06-03

    上传用户:com1com2

  • 基于FPGA的PWM整流控制器研究

    随着电力电子变流技术的不断发展,各种先进的控制技术层出不穷。控制器也从过去的模拟电路时代逐渐进入到全数字控制时代。但是MCU/DSP等通用控制器本身串行程序流工作模式的限制,在实现复杂算法时往往难以满足系统要求的快速性与实时性的要求,FPGA的出现为解决这个问题提供了一个新的方向。 本文首先对三相PWM整流器系统进行了研究。在查阅大量国内外文献资料的基础上,对整流器及其控制器的国内外发展现状及研究趋势做了详细的研究,并对课题研究的意义有了更深入的认识。接下来对三相电压型整流器的拓扑结构、数学模型、整流器的控制技术进行了分析。文中所采用的滞环电流控制算法具有结构简单,电流响应速度快,不依赖系统参数,系统鲁棒性好的特点。运用matlab仿真软件,对该控制方法进行了仿真。然后对FPGA的发展历程、应用、分类、开发工具、语言等内容进行了介绍。最后对滞环控制算法进行了模块划分,将其划分为PI算法模块,限幅与指令电流生成模块,滞环比较模块,PWM脉冲生成及死区保护模块,AD控制及数据储存模块,并在Quartus II软件环境下,使用VHDL语言通过编程实现模块化设计。实践证明,采用FPGA来实现PWM整流器控制算法是可行的。

    标签: FPGA PWM 整流 控制器

    上传时间: 2013-04-24

    上传用户:Ruzzcoy

  • 基于FPGA的逆变器的研制

    现场可编程门阵列器件(FPGA)是一种新型集成电路,可以将众多的控制功能模块集成为一体,具有集成度高、实用性强、高性价比、便于开发等优点,因而具有广泛的应用前景。单相全桥逆变器是逆变器的一种基本拓扑结构,对它的研究可以为三相逆变器研究提供参考,因此对单相全桥逆变器的分析有着重要的意义。 本文研制了一种基于FPGA的SPWM数字控制器,并将其应用于单相逆变器进行了试验研究。主要研究内容包括:SPWM数字控制系统软件设计以及逆变器硬件电路设计,并对试验中发现的问题进行了深入分析,提出了相应的解决方案和减小波形失真的措施。在硬件设计方面,首先对双极性/单极性正弦脉宽调制技术进行分析,选用适合高频设计的双极性调制。其次,详细分析死区效应,采用通过判断输出电压电流之间的相位角预测桥臂电流极性方向,超前补偿波形失真的方案。最后,采用电压反馈实时检测技术,对PWM进行动态调整。在控制系统软件设计方面,采用FPGA自上而下的设计方法,对其控制系统进行了功能划分,完成了DDS标准正弦波发生器、三角波发生器、SPWM产生器以及加入死区补偿的PWM发生器、电流极性判断(零点判断模块和延时模块)和反馈等模块的设计。针对仿真和实验中的毛刺现象,分析其产生机理,给出常用的解决措施,改进了系统性能。

    标签: FPGA 逆变器

    上传时间: 2013-07-06

    上传用户:66666

  • 基于FPGA的高频感应加热控制系统

    近年来,随着FPGA技术的出现,凭借着它在设计上的优越性,使得它在各电子设计领域上备受关注。在数字控制系统的应用领域也越来越广泛。本课题主要研究了FPGA技术和无线通讯技术在高频感应加热控制系统的应用,目的在于实现一个安全稳定的高频感应加热环境。 本文首先介绍了高频感应加热系统所涉及的一些概念及所要用到的一些技术。然后对系统实现的原理及实现可行性进行了深入的研究分析,确定了主电路的拓扑结构为串联谐振式,功率调节方式为容性移相调功:计算确定了系统中各个元件的参数和符号。最后按照FPGA的设计流程,设计实现了系统所需的各个硬件电路。 本文将无线通讯的技术引入了高频感应加热系统的控制。利用FPGA技术将RF无线通讯电路的控制部分与其他控制电路集成到一块FPGA芯片里,这样大大缩小了系统的体积,提高了系统的稳定性。使得对高频感应加热系统的控制更加智能化,同时也使得其操作安全性得到了很大的提高,从而达到了我们的目的。 研究结果表明,利用FPGA技术以及无线通讯技术的集成来实现智能化数字控制系统是很可行的方法。本文研究的感应加热控制系统运行良好。

    标签: FPGA 高频感应 加热控制

    上传时间: 2013-05-31

    上传用户:ainimao

  • 基于FPGA的数字下变频器

    本文设计和实现了基于FPGA的数字下变频器DDC,用于宽带数字中频软件无线电接收机中。采用自上向下的模块化设计方法,将DDC的功能划分为基本单元,实现这些功能模块并组成模块库。在具体应用时,优化配置各个模块来满足具体无线通信系统性能的要求。这样做比传统ASIC数字下变频器具有更好的可编程性和灵活性,从而满足不同的工程设计需求。 首先阐述了软件无线电中关键的数字信号处理技术,包括中频处理中的下变频技术、抽取技术以及带通采样技术。利用MATLAB的Simulink完成了对系统的设计与仿真,验证了设计的正确性。之后用QuartusII进行了基于FPGA抽取滤波器和NCO等关键模块的设计,编译后进行了时序仿真,最后在PCB板上实现了实际电路并应用于工程项目中。

    标签: FPGA 数字下变频

    上传时间: 2013-08-05

    上传用户:lishuoshi1996

  • 高速工业标记控制系统

    在工业领域中,经常需要在产品表面留下永久性的标识,通常作为便于今后追踪的商标、流水号、日期等等。特别在机械行业对零部件的管理,在市场上需要对其进行识别和质量跟踪。机械行业在零部件上的标记打印在追求美观的同时,要求有一定的打印速度和打印深度。标记打印能够为企业提供产品的可追溯性,更好的贯彻IS09000标准。 由于传统的标记打印在打印效率、美观以及防伪等方面存在问题,不适应现代化大生产要求,而激光打印技术虽然较好的克服了传统工艺的许多缺点,但激光器在恶劣的生成现场缺乏长期稳定性的工作特点的制约,不能完全满足生产实际的需要。为了弥补上述不足,适应大批量生产发展需要,气动标记打印技术成为一种较好的选择。 本课题在分析了现在市场上存在气动标记刻印系统的优缺点后,针对现有的标记打印机打印速度相对较慢,打印精度相对较低以及控制软件不灵活的缺点,设计了一套新的控制方案,使用FPGA作为核心控制器,配合PC机标记打印软件工作,代替以往PC或单片机的控制。该方案充分利用了FPGA可以高速并行工作的特点,能够高精度平稳的输出控制脉冲,使打印过程平稳进行。 本文描述了从总体方案设计到一些关键模块的设计思路和设计细节。根据设计要求,总体方案中提出了整个控制系统的划分和关键设计指标上的考虑。在硬件设计方面完成硬件电路设计,包括接口电路设计和抗干扰设计;在设计FPGA控制器时,采用了优化后的比较积分直线插补算法使得输出的插补脉冲均匀稳定;采用梯形速率控制算法,克服了速度突变情况时的失步或过冲现象;在软件方面,新开发了一套PC工业标记系统软件,采用了多线程技术和TTF矢量字库等技术。 整套标记打印系统经过较长时间的运行调试,表现稳定,现已经试用性投放市场.从生产厂家重庆恒伟精密机械有限公司和客户的反馈信息来看,系统工作稳定,打印速度达到设计指标,能够在256细分下驱动电机平稳快速运动,打印精度高,达到市场领先水平,并且得到客户充分的肯定。

    标签: 工业 标记 控制系统

    上传时间: 2013-06-21

    上传用户:rishian

  • 基于FPGA的静止图像压缩系统的研究

    基于FPGA的静止图像压缩系统的研究-JPEG编码器的设计电力电子与电力传动数字图像在人们生活中的应用越来越广泛,由于原始图像数据量比较大,因此数字图像压缩技术逐渐成为图像应用的一个核心环节。在数字图像压缩领域,国际标准化组织于1992年推出的JPEG标准应用最为广泛。 本文基于FPGA设计了JPEG图像压缩系统,通过改进算法,优化结构,在合理的利用硬件资源的条件下,有效的挖掘出算法内部的并行性。改进了DCT变换算法,设计了并行查找表结构的乘法器,采用了流水线优化算法来解决时间并行性问题,提高了DCT模块的运算速度。依据Huffman编码表的规律性,采用并行查找表结构,用较少的存储单元完成了Huffman编码运算,同时提高了编码速度。整个设计通过EDA软件进行了逻辑综合及功能与时序仿真。综合和仿真结果表明,本文提出的算法在速度和资源利用方面均达到了较好的状态,可满足实时JPEG图像压缩的要求。 设计了一个硬件开发平台,对JPEG图像压缩系统进行了验证。硬件平台上使用ADV7181B来实现AD转换;使用TI公司TMS320C6416型DSP芯片实现了系统配置以及通过PCI接口与上位机PC的实现数据交换;使用Microsoft VC++6.0开发平台开发了系统控制软件平台,实现对整个压缩系统的控制。

    标签: FPGA 图像压缩系统

    上传时间: 2013-05-24

    上传用户:GHF

  • 256级DA驱动的调光计算(电阻系列化)

    采用单片机的8位输出口,每个输出口接入1只电阻,其阻值为2n次方,由单片机8位数据控制电阻是否接入(并联),此电阻接入比较器并控制可控硅导通角,实现数字控制的调光。本软件是由8位数据对总电阻的计算。该技术还可应用于数控的模拟负载电路、电压输出等电路中。

    标签: 256 DA驱动 调光计算 电阻

    上传时间: 2013-10-26

    上传用户:hjkhjk

  • 基于单片机控制的开关电源模块

    传统的开关电源一般以恒流或恒压工作,不能根据负载调节输出电压或电流,本文中,我们设计了一种数字控制的开关电源模块。该电源模块是以TI公司的MSP430为控制核心,通过数字PID调节器控制反馈输出来实现的。首先介绍了该电源模块的工作原理及整体设计方案,其次介绍了部分关键电路的硬件设计,给出了主程序及部分子程序的流程图。与传统的开关电源相比,该电源模块具有体积小、精度高、电路简单、输出电压连续可调等优点。

    标签: 单片机控制 开关电源模块

    上传时间: 2013-12-25

    上传用户:libenshu01