虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

数字地球

  • 高速数字电路设计教材华为黑魔手册翻译

    高速数字电路设计教材 华为黑魔手册翻译

    标签: 高速数字电路 设计教材 华为

    上传时间: 2013-07-20

    上传用户:ZHWKLIU

  • 基于FPGA的数字调频发射机技术研究

    遥测系统由发射机、发射天线、接收天线、接收机组成.就遥测发射系统而言,传统的模拟调制已经很成熟,模拟发射机是利用调制信号的变化来控制变容二极管的结电容容值的变化,从而改变压控振荡器的震荡频率来实现调频;模拟调制码速率、调制频偏都受变容二极管特性的限制,模拟调制功能单一、调制方式不可重组、单个系统调制频率不可改变,无法满足频率多变的需求;随着高速器件和软件无线电技术的发展,数字调制发射机具有调制中心频率可调、频偏可编程、调制方式可重组、调制码速率高、可实现较高的频响、可以与编码器合并扩展功能很强等优点,成为今后发射机的发展主流.本论文讨论了如何利用现场可编程器件FPGA结合Max+plusⅡ及VHDL语言,在遥测系统中实现了DDS+PLL+SSB模式的数字调制发射机.数字发射机设计主要包括方案选择、系统设计、硬件电路实现及VHDL设计四个部分.论文中首先分析了目前遥测系统中使用的模拟调制发射机的不足及数字调制发射机的优点,确定了发射机的设计方案;第二章介绍了电子设计自动化工具及数字电路设计方法;第三章详细讨论了组成发射机的各个部分的原理设计;第四章着重讨论了各个部分的硬件电路实现、VHDL实现部分及设计的测试结果;最后总结了设计中需要进一步研究的问题.

    标签: FPGA 数字调频 发射机 技术研究

    上传时间: 2013-04-24

    上传用户:程婴sky

  • 基于FPGA的数字滤波器实现技术研究

    随着数字信号处理技术应用的不断深入,数字信号处理系统的实现面临着很多挑战,其中面临的四个主要问题是:速度、设计规模、功耗和开发周期。因此许多数字信号处理的实现方法被提出,其中基于FPGA的实现技术就是其中的重要技术之一。 本文以数字信号处理系统的实现为应用背景,着重研究了基于FPGA的数字滤波器实现技术。本文分为两个主要部分: 第一部分以Xilinx公司的FPGA为例,总结了FPGA设计的基本方法及设计流程,并在此基础上介绍了一种用于产品快速开发的设计方式—基于SystemGenerator的设计方式,这种设计方式向数字信号处理系统的设计者提供了自上而下的FPGA解决方案。 第二部分系统地研究了基于FPGA的数字滤波器实现技术。该部分首先研究了三种适合于FPGA的FIR滤波器实现方法,直接结构、转置结构及分布式算法。其次,讨论了针对直接结构FIR滤波器的乘法器优化技术,CSD编码和系数分解,以及针对转置结构FIR滤波器的乘法器优化技术,简化加法器图,并结合实例给出了它们的优化效果。再次,介绍了直接结构FIR滤波器中常用多操作数加法实现方法,二叉树和Wallace树,并在Wallace树的基础上提出了一种适合于FPGA的1比特多操作数加法结构,这种实现结构在实现采样字长与系数字长均为l比特的FIR滤波器时,使FPGA的资源利用率得到明显提高。最后还给出了三种FIR滤波器实现方法在FPGA中应用的优缺点及其适用性,并给出了一个带通滤波器的设计实例。 论文的研究成果已应用于“北斗一号”导航定位接收机中。

    标签: FPGA 数字滤波器 实现技术

    上传时间: 2013-08-01

    上传用户:Andy123456

  • 基于FPGA的数字相位计的研究与实现

    本文结合工程需要详细论述了一种数字相位计的实现方法,该方法是基于FPGA(现场可编程门阵列)芯片运用FFT(快速傅立叶变换)算法完成的。首先,从相位测量的原理出发,分析了传统相位计的缺点,给出了一种高可靠性的相位检测实用算法,其算法核心是对采集信号进行FFT变换,通过频谱分析,实现对参考信号和测量信号初相位的检测,并同时阐述了FPGA在实现数字相位计核心FFT算法中的优势。在优化的硬件结构中,利用多个乘法器并行运算的方式加快了蝶形运算单元的运算速度;内置双端口RAM、旋转因子ROM使数据存储的速度得到提高;采用了流水线的工作方式使数据的存储、运算在时间上达到匹配。整个设计采用VHDL(超高速硬件描述语言)语言作为系统内部硬件结构的描述手段,在Altera的QuartusⅡ软件支持下完成。仿真结果表明,基于FPGA实现的FFT算法无论在速度和精度上都满足了相位测量的需要,其运算64点数据仅需27.5us,最大误差在1%之内。

    标签: FPGA 数字 相位计

    上传时间: 2013-05-16

    上传用户:lgs12321

  • 数字PID控制算法

    数字PID的基本类型及其改进型,参数整定方法。

    标签: PID 数字 控制算法

    上传时间: 2013-04-24

    上传用户:axxsa

  • 基于FPGA的有限冲激响应数字滤波器的研究及实现

    数字滤波作为数字信号处理技术的重要组成部分,广泛应用于诸如信号分离、恢复、整形等多种场合中,本文讨论的FIR滤波器因其具有严格的线性相位特性而得到广泛的应用。在工程实践中,往往要求信号处理具有实时性和灵活性,但目前常用的一些软件或硬件实现方法则难以同时达到两方面的要求。 可编程逻辑器件是一种用户根据需要而自行构造逻辑功能的数字集成电路。本课题研究FIR的FPGA解决方案体现电子系统的微型化和单片化,主要完成的工作如下: (1)以FIR滤波器的基本理论为依据,研究适应工程实际的数字滤波器的设计方法: (2)对分布式算法进行了较为深入的研究。在阐述算法原理的基础上,分析了利用FPGA特有的查找表结构完成这一运算的方法,从而解决了常系数乘法运算硬件实现的问题; (3)以—FIR低通滤波器为例说明FIR数字滤波器的具体实现方法,采用层次化、模块化、参数化的设计思想,完成对整个FIR滤波器的功能模块的划分,以及各个功能模块的具体设计; (4)设计参数可调的FIR低通滤波器的硬件电路:以EPFlK50TCl44-l为核心,包括A/D转换电路、D/A转换电路以及在系统配置电路等。以话音作为输入信号,进行了实际滤波效果的测试。 实验系统的测试结果表明,和传统的数字滤波器相比较具有更好的实时性、准确性、灵活性和实用性。

    标签: FPGA 冲激响应 数字滤波器

    上传时间: 2013-07-19

    上传用户:sjyy1001

  • 数字图像加密与实现(转)

    该论文介绍加密算法及如何给数字图像文件加密,比较适合密码学的初入门者学习与交流。

    标签: 数字图像 加密

    上传时间: 2013-04-24

    上传用户:czl10052678

  • CPLD_FPGA的数字通信系统建模与设计

    《CPLD_FPGA的数字通信系统建模与设计》,运用VHDL语言详细介绍了数字通信系统的建模与设计,如HDB3码的编写

    标签: CPLD_FPGA 数字通信 系统建模

    上传时间: 2013-06-11

    上传用户:hwl453472107

  • 宽带射频数字接收机实验平台的FPGA实现

    该文利用FPGA技术,设计了全概率宽带数字接收机的实验平台,并在其上提出了数字接收机实现的可行性方法,以及对这些方法的验证.该文的主要贡献和创新有以下几个方面.提出了并行结构算法的工程实现,讨论了解决前端采样的高速数据流远远超过后端DSP处理能力问题的可行性方法.利用多相滤波下变频的并行结构特点,使滤波器能够以高效的形式实现,也使得后端的混频能够工作在一个较低的速率上.经过多相滤波下变频处理后的数据,在速率和数量上都有大幅减少,达到了现有通用DSP器件的处理能力的要求.针对多相滤波下变频与短数据快速测频算法的特点,用FPGA搭建了其实验模型,并利用微机EPP接口,对实验目标板进行控制并与其进行数据交换.利用FPGA的在线编程特性,可以方便灵活对各种实现方法加以验证、比较.同时也给调试带来了方便,可以每个模块单独调试而不用改变硬件结构,使调试效率大大提高.该平台也可用来对其他数字处理算法进行实现性分析与实验.参考软件无线电设计的概念和国内外相关文献,提出了多项滤波下变频结构的FPGA实现.传统的DDC通过数字混频、滤波、抽取实现数字下变频,在高速A/D和电子侦察环境条件下商用DDC不能使用.该文采用滤波器多相分解方法,按数字混频序列划分调谐信道,使用先抽取,后低通滤波,再混频的数字下变频结构,高效实现了变载频带通信号数字下变频.结合多相滤波下变频结构、算法对测频精度及速度的要求,提出了短数据快速测频算法的具体实现,使用流水线的设计方法,提高了系统的数据吞吐率,在尽可能短的时间内提供多相滤波下变频所需的载频位置信息.以上两部分的FPGA实现除了纯粹的算法模块外,还包括测试用的外围模块,以及运行于实验平台上的控制模块、缓存、数据控制等.这些模块也用FPGA来实现.

    标签: FPGA 宽带 实验 射频

    上传时间: 2013-06-22

    上传用户:haoxiyizhong

  • 数字图像监控系统解码芯片的设计及其FPGA实现

    该文就多媒体信息的主体之一-图像信号的压缩和解压进行了分析,并结合实际课题所设计的数字图像监控系统对其中的图像解码过程进行了软硬件的实现.首先我们在ANALOG DEVICE公司的ADSP-2189上进行了解码系统的验证,就解码输出的质量进行了主观评价.通过软件仿真,我们还进一步得到了解码过程中,哪些指令占用较多的指令执行时间,哪些指令会成为硬件实现时的瓶颈.它为我们的FPGA优化设计提供了理论上的依据.综合考虑设计方案的复杂程度、系统规模、系统时延、器件成本等各项因素,通过对各种FPGA器件性能与开发工具的选择比较,决定选用Altera公司的FLEX10K器件来做最终的硬件实现.它不仅为图像解码系统的ASIC实现做了一定的理论分析和技术准备,也为FPGA技术在数字信号处理领域的应用开辟了新的研究方向.在硬件设计过程中,根据FPGA技术的优点,采用"自上而下"和"自下而上"相结合的设计方法,将整个系统进行功能模块分割并分别实现.所有处理模块均采用VERILIG语言编写,对其中的主要模块都进行了优化设计.通过这些优化不仅提高了解压性能,还减少了处理时间和所占用的硬件空间.最后通过仿真表明了所实现的图像解码系统具有良好的性能,具有一定的使用价值.

    标签: FPGA 数字图像 监控系统 片的设计

    上传时间: 2013-06-26

    上传用户:再见大盘鸡