虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

故障汇总

  • 智能断路器理论方法与关键技术的研究.rar

    断路器是电力系统中重要的控制和保护设备,对维护电力系统的安全、稳定和可靠运行起着重要的作用。如何使断路器高度智能化,并且更安全和可靠,是电力系统保护的发展要求,也是本论文研究的目的。 本文在深入研究了智能断路器国内外发展状况的基础上,精心设计了以数字信号处理器DSP和复杂可编程逻辑器件CPLD为核心的系统硬件。DSP是智能断路器测控单元的核心器件,它实现断路器的各种保护、报警、显示与控制功能。CPLD完成状态量的监测,以及各种逻辑信号的输出。两种器件相互配合使得断路器系统更加智能化。研究了断路器测控单元的测量原理及保护算法,并进行了具体的硬件和软件模块的设计,旨在实现断路器的智能保护、远程控制和集中管理。本设计以TI公司的DSP芯片TMS320LF2407为核心。硬件设计主要包括信号调理模块设计、信号采样模块设计、保护执行模块设计、CPLD模块设计和输入输出模块设计。并且利用TMS320LF2407本身具有的CAN2.0模块,通过CAN总线实现断路器和上位机的通信,实现遥测、遥调、遥控、遥信等“四遥”功能。软件采用模块化设计,每一个模块相对独立,完成某个特定功能,便于维护和添加新功能,并且调试灵活方便。文中给出了主程序及各个子程序的流程图,其中子程序有数据采集子程序、FFT计算子程序、液晶显示子程序、短路瞬时保护子程序、过载长延时保护子程序、接地故障保护子程序和短路短延时保护子程序等。并且设计中充分考虑了断路器工作环境的恶劣性,分析了各种干扰的来源,并针对各种干扰采取了对应的软件和硬件的抗干扰措施。最后,为了验证全波傅氏算法能否满足电网数据处理精度的要求,利用MATLAB搭建仿真平台,对其进行了仿真。结果表明全波傅氏算法能达到系统的要求。

    标签: 智能断路器 关键技术

    上传时间: 2013-04-24

    上传用户:BK094

  • PCB故障诊断路内测试系统的研究.rar

    电子功能模件是机电产品的基本组成部分,其水平高低直接决定整个机电产品的工作质量。当前PCB自动测试系统大多为欧美产品,价格相当昂贵,远远超出我国中小电子企业的承受能力。为了提高我国中小企业电子设备的竞争力,本课题研发了适合于我国中小企业、价格低廉、使用方便的PCB路内测试系统。 本文首先详细介绍了PCB各种检测技术的原理和特点,然后根据本课题面向的用户群和他们对PCB测试的需求,组建PCB内测试系统。本系统基于虚拟仪器设计思想,以PCB上模拟电子器件、组合逻辑电路及由其构成的功能模块等为被测对象,包括路内测试仪、逻辑分析单元、信号发生器、高速数据采集器、多路通道扫描器及针床。其中:路内测试仪对不同被测对象选择不同测试方法,采用电位隔离法实现了被测对象与PCB上其他元器件的隔离,并采用自适应测试方法提高测试结果的准确度。逻辑分析单元主要采用反向驱动技术测试常见的组合逻辑电路。信号发生器能同时产生两路正弦波、方波、斜波、三角波等常用波形。数据采集器能同时采集四路信号,以USB接口与主机通讯。多路通道扫描器采用小型继电器阵列来实现,可扩展性好。针床采用新型夹具,既保证接触性能,又不至破坏触点。 实践表明,本系统能对常用电子功能模件进行自动测试,基本达到了预期目标。

    标签: PCB 故障诊断 测试系统

    上传时间: 2013-06-06

    上传用户:klds

  • 风力发电并网逆变器的DSP控制系统研究.rar

    风能作为一种清洁可再生能源,发展迅速,已经成为世界新能源最主要的发展方向之一。本文以863计划项目"MW级风力发电机组电控系统研制"为研究背景,介绍了1.2MW永磁同步电机变速恒频风力发电系统,研究了变流系统中逆变器的控制方法。 本文首先对风力发电进行了概述,介绍了我国和世界风电发展状况以及技术发展趋势。当今风力发电技术,大功率直驱化和双馈是两个发展方向,本课题1.2MW风力发电系统就是采用了永磁同步电机加交直交变流系统的结构模式,中间省去了齿轮箱,减少了维护,具有较好的发展前景。 论文第二章首先对风轮机叶片的空气动力特性进行了分析,介绍了不同风速下风力发电机的控制策略。就直驱技术与变速箱/感应电机技术--目前风力发电领域变速恒频技术的两大发展方向作了较为详细的介绍分析。 在变流系统中,逆变并网是重要的环节,起到了将电能传输到电网的作用。文章中重点分析了三相并网逆变器的主电路结构、原理和工作方法,并进行了理论推导和公式说明。 本文对1.2MW永磁同步电机变速恒频风力发电系统的主电路参数的选择作了理论推导和计算,包括主电路直流侧电容,网侧电感,三重化升压电感,网侧滤波电容等,还确定了斩波和逆变部分所采用的开关管和六相整流所采用的二极管,并在额定正常工作情况下,分别计算斩波和逆变部分开关管的损耗和开关管的结温。 本课题采用瞬时电流法对并网逆变器进行控制。在实验中上确定了电压外环和电流内环的PI参数,顺利完成了闭环控制实验。 文中采用DSP2407高速集成控制芯片是控制的核心,并根据控制流程图对其控制进行了软硬件设计,实现了控制板上的信号采集、运算、故障检测、电路驱动等功能。并进行了小功率试验,得到了较好的电压电流波形,并对波形进行了详细分析,验证了本文采用方法的正确性。

    标签: DSP 风力发电 并网逆变器

    上传时间: 2013-07-06

    上传用户:wangdean1101

  • 基于FPGA的分布式采集系统时钟同步控制技术研究与实现.rar

    随着电子技术的快速发展,各种电子设备对时间精度的要求日益提升。在卫星发射、导航、导弹控制、潜艇定位、各种观测、通信等方面,时钟同步技术都发挥着极其重要的作用,得到了广泛的推广。对于分布式采集系统来说,中心主站需要对来自于不同采集设备的采集数据进行汇总和分析,得到各个采集点对同一事件的采集时间差异,通过对该时间差异的分析,最终做出对事件的准确判断。如果分布式采集系统中的各个采集设备不具有统一的时钟基准,那么得到的各个采集时间差异就不能反映出实际情况,中心主站也无法准确地对事件进行分析和判断,甚至得出错误的结论。因此,时钟同步是分布式采集系统正常运作的必要前提。 目前国内外时钟同步领域常用的技术有GPS授时技术,锁相环技术和IRIG-B 码等。GPS授时技术虽然精度高,抗干扰性强,但是由于需要专用的GPS接收机,若单纯使用GPS 授时技术做时钟同步,就需要在每个采集点安装接收机,成本较高。锁相环是一种让输出信号在频率和相位上与输入参考信号同步的技术,输出信号的时钟准确度和稳定性直接依赖于输入参考信号。IRIG-B 码是一种信息量大,适合传输的时间码,但是由于其时间精度低,不适合应用于高精度时钟同步的系统。基于上述分析,本文结合这三种常用技术,提出了一种基于FPGA的分布式采集系统时钟同步控制技术。该技术既保留了GPS 授时的高精确度和高稳定性,又具备IRIG-B时间码易传输和低成本的特性,为分布式采集系统中的时钟同步提供了一种新的解决方案。 本文中的设计采用了Ublox公司的精确授时GPS芯片LEA-5T,通过对GPS芯片串行时间信息解码,获得准确的UTC时间,并实现了分布式采集系统中各个采集设备的精确时间打码。为了能够使整个分布式采集系统具有统一的高精度数据采集时钟,本论文采用了数模混合的锁相环技术,将GPS 接收芯片输出的高精度秒信号作为参考基准,生成了与秒信号高精度同步的100MHZ 高频时钟。本文在FPGA 中完成了IRIG-B 码的编码部分,将B 码的准时标志与GPS 秒信号同步,提高了IRIG-B 码的时间精度。在分布式采集系统中,IRIG-B时间码能直接通过串口或光纤将各个采集点时间与UTC时间统一,节约了各点布设GPS 接收机的高昂成本。最后,通过PC104总线对时钟同步控制卡进行了数据读取和测试,通过实验结果的分析,提出了改进方案。实验表明,改进后的时钟同步控制方案具有很高的时钟同步精度,对时钟同步技术有着重大的推进意义!

    标签: FPGA 分布式 采集

    上传时间: 2013-08-05

    上传用户:lz4v4

  • 基于FPGA动态重构的故障容错技术.rar

    可重构计算技术兼具通用处理器(General-Purpose Processor,GPP)和专用集成电路(Application Specific Integr—ated Circuits,ASIC)的特点,既可以提供硬件高速的特性,又具有软件可以重新配置的特性。而动态部分可重构技术是可重构计算技术的最新进展之一。该技术的要点就是在系统正常工作的情况下,修改部分模块的功能,而系统其它模块能够照常运行,这样既节约硬件资源,又增强了系统灵活性。 可重构SoC既可以在处理器上进行编程又可以改变FPGA内部的硬件结构,这使得SoC系统既具有处理器善于控制和运算的特点,又具FPGA灵活的重构特点;由于处理器和FPGA硬件是在同一块硅片上,使得它们之间的通信宽带大大提高,这种平台很适合于容错算法的实现。 本文基于863计划项目;动态重构计算机的可信实现关键技术,重点研究应用于恶劣环境中FPGA自我容错的体系结构,提出了一套完整的SoC系统的容错设计方案,并研究其实现技术,设计实现了实现该技术的硬件平台和软件算法,并验证成功。 论文取得了如下的创新性研究成果: 1、设计了实现动态重构技术的硬件平台,包括高性能的FPGA(内含入式处理器PowcrPC)、PROM、SRAM、FLASH、串口通信等硬件模块。 2、说明了动态重构技术的设计规范和设计流程,实现动态重构技术。 3、提出了一种基于动态重构实现容错的方法,不需要外部处理器干预,由嵌入式处理器负责管理整个过程。 4、设计并实现了嵌入式处理器运行时需要的软件,主要有两个功能,首先是从CF卡中读入重构所需的配置文件,并将配置文件写进FPGA内部的配置存储器中,改变FPGA内部的功能。其次,是实现容错技术的算法。

    标签: FPGA 动态 容错技术

    上传时间: 2013-04-24

    上传用户:edrtbme

  • OFDM无线局域网关键技术的FPGA实现.rar

    无线局域网(WLAN)是未来移动通信系统的重要组成部分。由于摆脱了有线连接的束缚,无线局域网具有移动性好、成本低以及网络传输故障少等诸多优点,得到了越来越广泛的发展与应用。正交频分复用(OFDM)技术具有抗多径衰落,频谱利用率高等优点,特别适合于无线环境下的高速数据传输,是高速无线局域网的首选技术之一。从IEEE802.11a,IEEE802.11g到IEEE802.1n都是以OFDM为基础。随着OFDM技术的普及以及下一代通信技术对OFDM的青睐,研究与实现应用于无线局域网的OFDM关键技术具有一定的意义。 本文首先介绍了WLAN的基本概念及相关协议标准和OFDM系统的工作原理,并描述了基于IEEE802,11a和IEEE802.11n标准的OFDM系统的数据帧结构以及系统参数。文中对OFDM传输系统的关键算法进行了详细的研究。然后以Xilinx公司的ISE10.1为软件平台,利用VHDL描述的方式,并以FPGA(现场可编程门阵列)芯片SPARTAN-3E为硬件平台,研究实现了适用于IEEE802.11a和IEEE802.11n的64点16bits复数块浮点结构的FFT模块,(2,1,7)卷积编码和维特比译码模块,以及分组检测和符号定时模块,并进行了仿真、综合、下载验证等工作。

    标签: OFDM FPGA 无线局域网

    上传时间: 2013-06-25

    上传用户:cee16

  • 万用表测量技巧.rar

    测量技巧万用表实用测量技法与故障检修电子技能基础

    标签: 万用表 测量

    上传时间: 2013-05-18

    上传用户:wsf950131

  • 基于FPGA的视频图像分析.rar

    对弓网故障的检测是当今列车检测的一项重要任务。原始故障视频图像具有极大的数据量,使实时存储和传输故障视频图像极其困难。由于视频的数据量相当大,需要采用先进的视频编解码协议进行处理,进而实现检测现场的实时监控。 @@ H.264/AVC(Advanced Video Coding)作为MPEG-4的第10部分,因其具有超高的压缩效率、极好的网络亲和性,而被广泛研究与应用。H.264/AVC采用了先进的算法,主要有整数变换、1/4像素精度插值、多模式帧间预测、抗块效应滤波器和熵编码等。 @@ 本文使用硬件描述语言Verilog,以红色飓风 II开发板作为硬件平台,在开发工具QUARTUSII 6.0和MODELSIM_SE 6.1B环境中完成软核的设计与仿真验证。以Altera公司的CycloneII FPGA(Field Programmable Gate Array)EP2C35F484C8作为核心芯片,实现视频图像采集、存储、显示以及实现H.264/AVC部分算法的基本系统。 @@ FPGA以其设计灵活、高速、具有丰富的布线资源等特性,逐渐成为许多系统设计的首选,尤其是与Verilog和VHDL等语言的结合,大大变革了电子系统的设计方法,加速了系统的设计进程。 @@ 本文首先分析了FPGA的特点、设计流程、verilog语言等,然后对静态图像及视频图像的编解码进行详细的分析,比如H.264/AVC中的变换、量化、熵编码等:并以JM10.2为平台,运用H.264/AVC算法对视频序列进行大量的实验,对不同分辨率、量化步长、视频序列进行编解码以及对结果进行分析。接着以红色飓风II开发板为平台,进行视频图像的采集存储、显示分析,其中详细分析了SAA7113的配置、CCD信号的A/D转换、I2C总线、视频的数字化ITU-R BT.601标准介绍及视频同步信号的获取、基于SDRAM的视频帧存储、VGA显示控制设计;最后运用verilog语言实现H.264/AVC部分算法,并进行功能仿真,得到预计的效果。 @@ 本文实现了整个视频信号的采集存储、显示流程,详细研究了H.264/AVC算法,并运用硬件语言实现了部分算法,对视频编解码芯片的设计具有一定的参考价值。 @@关键词:FPGA;H.264/AVC;视频;verilog;编解码

    标签: FPGA 视频 图像分析

    上传时间: 2013-04-24

    上传用户:啦啦啦啦啦啦啦

  • 基于FPGA的PID控制器研究与实现.rar

    基于微处理器的数字PID控制器改变了传统模拟PID控制器参数整定不灵活的问题。但是常规微处理器容易在环境恶劣的情况下出现程序跑飞的问题,如果实现PID软算法的微处理器因为强干扰或其他原因而出现故障,会引起输出值的大幅度变化或停止响应。而FPGA的应用可以从本质上解决这个问题。因此,利用FPGA开发技术,实现智能控制器算法的芯片化,使之能够广泛的用于各种场合,具有很大的应用意义。 首先分析FPGA的内部结构特点,总结FPGA设计技术及开发流程,指出实现结构优化设计,降低设计难度,是扩展设计功能、提高芯片性能和产品性价比的关键。控制系统由四个模块组成,主要包括核心控制器模块、输入输出模块以及人机接口。其中控制器部分为系统的关键部件。在分析FPGA设计结构类型和特点的基础上,提出一种基于FPGA改进型并行结构的PID温度控制器设计方法。在PID算法与FPGA的运算器逻辑映像过程中,采用将补码的加法器代替减法器设计,增加整数运算结果的位扩展处理,进行不同数据类型的整数归一化等不同角度的处理方法融合为一体,可以有效地减少逻辑运算部件。应用Ouartus Ⅱ图形输入与Verilog HDL语言相结合设计实现了PID控制器,用Modelsim仿真验证了设计结果的正确性,用Synplify Pro进行电路综合,在Quaitus Ⅱ软件中实现布局布线,最后生成FPGA的编程文件。根据控制系统的要求,论文设计完成了12位模数AD转换器、数据显示器、按键等相关外围接口电路。 将一阶、纯滞后、大惯性电阻炉温作为控制对象,以EP1C3T144 FPGA为核心,构建PID控制系统。在采用Pt100温度传感器、分辨率为2℃、最大温度控制范围0~400℃的条件下,实验结果表明,达到无超调的稳定控制要求,为降低FPGA实现PID控制器的设计难度提供了有效的方法。

    标签: FPGA PID 控制器

    上传时间: 2013-06-13

    上传用户:15071087253

  • 高速PCB布线技术汇总.rar

    多篇高速PCB布线的文章,高速PCB板的电源布线设计,高频PCB设计中出现的干扰分析及对策 ,高速数字印制电路板电源地面层结构对ΔI噪声抑制的研究,高速PCB板的电源布线设计等等

    标签: PCB 布线技术

    上传时间: 2013-07-27

    上传用户:yyyyyyyyyy