虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

接口采集板

  • 基于FPGA的实时图像采集与处理系统研究.rar

    随着数码技术的不断发展,数字图像处理的应用领域不断扩大,其实时处理技术成为研究的热点。VLSI技术的迅猛发展为数字图像实时处理技术提供了硬件基础。其中FPGA(现场可编程门阵列)的特点使其非常适用于进行一些基于像素级的图像处理。 传统的图像显示系统必须连接到PC才能观察图像视频,存在着高速实时性、稳定性问题。本设计脱离高清晰工业相机必须与PC连接才可以观看到高清晰图像的束缚,实现系统的小型化。针对130万像素彩色1/2英寸镁光CMOS图像传感器,提出用硬件实现Bayer格式到RGB格式转换的设计方案,完成由黑白图像到高清彩色图像的转换,用SDRAM作缓存,输出标准VGA信号,可直接连接VGA显示器、投影仪等设备进行实时的视频图像观看,与模拟相机740X576分辨率(480线)图像相比,设计图像画质相当于1280X1024分辨率(750线),最高帧率25fps,整个结构应用FPGA作为主控制器,用少量的缓存代替传统的大容量存储,加快了运算速率,减小了电路规模,满足图像实时处理的要求,使展现出来的视频图像得到质的飞跃。可以广泛应用于工业控制和远程监控等领域。 论文研究的重点是采用altera公司EP2C芯片前端驱动CMOS图像传感器,实时采集Bayer图像象素,分析研究CFA图像插值算法,实现了基于FPGA的实时线性插值算法,能够对输入是每像素8bit、分辨率为1280×1204的Bayer模式图像数据进行实时重构,输出彩色RGB图像。由端口FIFO作为数据缓冲,存储一帧图像到高速SDRAM,构建VGA显示控制器,实现对输入是每像素24bit(RGB101010)、分辨率为640×480、帧频25HZ彩色图像进行实时显示。 整个模块结构包括电源模块单元等、CMOS成像单元、FPGA数据处理单元、SDRAM控制单元、VGA显示接口单元。 最后,对系统进行了调试。经实验验证,系统达到了实时性,能正确和可靠的工作。整个设计模块能够满足高帧率和高清晰的实时图像处理,占用系统资源很少,用较少的时间完成了图像数据的转换,提高了效率。

    标签: FPGA 实时图像采集 与处理系统

    上传时间: 2013-06-08

    上传用户:zhengjian

  • 基于FPGA的数据采集系统研究.rar

    数据采集是信号与信息系统中一个重要的组成部分,也是数字信号处理的关键环节。本论文主要介绍一种基于FPGA的数据采集系统,提出一种由高速A/D转换芯片、高性能FPGA和PCI总线接口组成的数据采集系统方案及其的硬件电路实现方法。该系统利用AD器件对信号进行放大、差分转换和模数转换,利用FPGA设计内部模块和时钟信号来进行电路控制及实现数据缓存、数据传递等功能,最后通过PCI逻辑接口把暂存在FPGA的数据传送到PC主机。FPGA作为采集系统的核心部件,完成了内部数字电路设计,使系统具有很高的可适应性、可扩展性和可调试性。 本论文从研究数据采集的理论出发,重点研究了A/D模数转换、FPGA芯片设计及PCI总结接口设计,完成了系统的各级电路硬件设计,并通过系统仿真验证了系统的可行性。

    标签: FPGA 数据采集 系统研究

    上传时间: 2013-04-24

    上传用户:小杨高1

  • 基于FPGA的高速串行接口模块仿真设计.rar

    现代社会信息量爆炸式增长,由于网络、多媒体等新技术的发展,用户对带宽和速度的需求快速增加。并行传输技术由于时钟抖动和偏移,以及PCB布线的困难,使得传输速率的进一步提升面临设计的极限;而高速串行通信技术凭借其带宽大、抗干扰性强和接口简单等优势,正迅速取代传统的并行技术,成为业界的主流。 本论文针对目前比较流行并且有很大发展潜力的两种高速串行接口电路——高速链路口和Rocket I/O进行研究,并以Xilinx公司最新款的Virtex-5 FPGA为研究平台进行仿真设计。本论文的主要工作是以某低成本相控阵雷达信号处理机为设计平台,在其中的一块信号处理板上,进行了基于LVDS(Low VoltageDifferential Signal)技术的高速LinkPort(链路口)设计和基于CML(Current ModeLogic)技术的Rocket I/O高速串行接口设计。首先在FPGA的软件中进行程序设计和功能、时序的仿真,当仿真验证通过之后,重点是在硬件平台上进行调试。硬件调试验证的方法是将DSP TS201的链路口功能与在FPGA中的模拟高速链路口相连接,进行数据的互相传送,接收和发送的数据相同,证明了高速链路口设计的正确性。并且在硬件调试时对Rocket IO GTP收发器进行回环设计,经过回环之后接收到的数据与发送的数据相同,证明了Rocket I/O高速串行接口设计的正确性。

    标签: FPGA 高速串行 接口模块

    上传时间: 2013-04-24

    上传用户:恋天使569

  • 基于FPGA的高速数据采集存储系统设计.rar

    高速大容量数据采集存储技术在通信、航天、气象、雷达等多个领域中拥有着广泛应用。各领域科技与信息技术不断发展,对数据的采集和传输速率要求越来越高,对数据存储的速度和容量要求也越来越高。高速数据存储主要包括存储介质选取、存储器控制、数据存储和总线应用等,如何实时、高速、连续大量地采集存储数据是一个关键性问题。 本文设计了一种基于FPGA控制的高速数据采集存储系统。该系统选用符合ATA-6规范的IDE硬盘作为数据存储介质,采用RAID0配置的磁盘阵列形式,并配合板载的128MB内存实现对数据的高速大容量稳定存储。 该磁盘阵列同时管理五个IDE硬盘,平均数据流达到250MB/s,峰值传输速率达到500MB/s,也可以扩展更多硬盘构成大容量的磁盘阵列。系统采用PCI-9054桥芯片与计算机连接,可同时存储四路AD数据,可以通过人机交互界面实时监控数据采集情况,在计算机上实现整个磁盘阵列的实时控制。

    标签: FPGA 高速数据 采集

    上传时间: 2013-06-14

    上传用户:2404

  • LPC总线接口UART控制器FPGA实现.rar

    随着微电子技术的快速发展,电子设备逐渐向着小型化、集成化方向发展;人们在要求设备性能不断提升的同时,还要求设备功耗低、体积小、重量轻、可靠性高。同样在我军武器装备的研制过程中,也对各武器装备都提出了新的要求,特别是针对单兵配备的便携设备,对体积、功耗、扩展性的要求更是严格。 在某手持式设备的开发项目中,需要设计一块接口板,要求实现高达8个串行口扩展以及能源管理和数字输入输出接口等功能,该接口板与处理器模块的连接总线采用LPC总线,整个手持设备除了对功能有基本的要求以外,对体积及功耗都提出了极高的要求。针对项目的具体设计要求,经过与传统设计方法的比较,决定采用FPGA来实现LPC接口及UART控制器功能。 论文的主要目标是完成LPC接口的UART控制在FPGA中的实现。对于各模块中的关键的功能部分,文中对其实现都进行了详细的说明。整个设计全部采用硬件描述语言(HDL)实现,并且采用了分模块的设计风格,具有很好的重用性。 为了在硬件平台上验证设计,还实做了FPGA验证平台,并用C语言编写了测试程序。经过验证,该方案完全实现了接口板的功能要求,并且满足体积和功耗上的要求,取得了良好的效果。 论文通过采用FPGA作为电路设计的核心,以一种新的数字电路设计方法实现电路功能;旨在通过这种方式,不断提高设备的性能并拓展设计者思想。

    标签: FPGA UART LPC

    上传时间: 2013-04-24

    上传用户:wlyang

  • 基于FPGAHDL的随机读写I2C串行总线接口电路设计.rar

    I2C(Inter Integrated Circuits)是Philips公司开发的用于芯片之间连接的串行总线,以其严格的规范、卓越的性能、简便的操作和众多带I2C接口的外围器件而得到广泛的应用并受到普遍的欢迎。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用Verilog/FPGA来实现一个随机读/写的I2C接口电路,实现与外围I2C接口器件E2PROM进行数据通信,实现读、写等功能,传输速率实现为100KBps。在Modelsim6.0仿真软件环境中进行仿真,在Xilinx公司的ISE9.li开发平台上进行了下载,搭建外围电路,用Agilem逻辑分析仪进行数据采集,分析测试结果。 首先,介绍了微电子设计的发展概况以及设计流程,重点介绍了HDL/FPGA的设计流程。其次,对I2C串行总线进行了介绍,重点说明了总线上的数据传输格式并对所使用的AT24C02 E2PROM存储器的读/写时序作了介绍。第三,基于Verilog _HDL设计了随机读/写的I2C接口电路、测试模块和显示电路;接口电路由同步有限状态机(FSM)来实现;测试模块首先将数据写入到AT24C02的指定地址,接着将写入的数据读出,并将两个数据显示在外围LED数码管和发光二极管上,从而直观地比较写入和输出的数据的正确性。FPGA下载芯片为Xilinx SPARTAN Ⅲ XC3S200。第四,用Agilent逻辑分析仪进行传输数据的采集,分析数据传输的时序,从而验证电路设计的正确性。最后,论文对所取得的研究成果进行了总结,并展望了下一步的工作。

    标签: FPGAHDL I2C 随机

    上传时间: 2013-06-27

    上传用户:liuchee

  • 基于FPGA的PCI总线图像采集卡的设计与实现.rar

    图像采集系统是数字图像信号处理过程中不可缺少的重要部分,它将前端相机所捕获的模拟信号转化为数字信号,或者直接从数字相机中获取数字信号,然后通过高速的计算机总线传回计算机,凭借计算机的强大的运算、数据存储与处理等操作能力,可以方便快捷地对信号进行分析处理,具有人机友好、功能灵活、可移植性强等优点。随着对数据传送速度要求的提高,PCI总线以其高的数据传输率,即插即用,低功耗等众多优点,得到广泛的应用。本文针对PCI总线接口电路使用的广泛性,介绍了PLX公司桥接芯片PCI9054主模式的工作原理和中断机制,采用可编程逻辑器件FPGA实现与PCI9054的本地接口的信号转换,给出了逻辑实现方案和仿真图。本文针对FPGA中各功能模块的逻辑设计进行了详细分析,并对每个模块都给出了精确的仿真结果。同时,文中还在其它章节详细介绍了系统的硬件电路设计、并行接口设计、PCI接口设计、PC端控制软件设计以及用于调试过程中的SignalTapⅡ嵌入式逻辑分析仪的使用方法,并且也对系统的仿真结果和测试结果给出了分析及讨论。最后还附上了系统的PCB版图、FPGA逻辑设计图、实物图及注释详细的相关源程序清单。在文章的软件设计部分介绍了WinDriver驱动开发工具,利用WinDriver工具,在WindowsXP系统下实现设备的驱动程序开发,完成主模式数据传输和设备中断的功能。

    标签: FPGA PCI 总线

    上传时间: 2013-06-09

    上传用户:kjl

  • 基于FPGA的蓝牙HCIUART控制接口设计.rar

    通用异步收发器UART(Universal Asynchronous Receiver/Transmitter)是广泛使用的串行传输协议。串行外设用到异步串行接口一般采用专用集成电路实现。但是这类芯片一般包含许多辅助模块,而时常不需要使用完整的UART的功能和辅助功能,或者当在FPGA上设计时,需要将UART功能集成到FPGA内部而不能使用芯片。蓝牙主机控制器接口则是实现主机设备与蓝牙模块之间互操作的控制部件。当在使用蓝牙设备的时候尤其是在监控场所,接口控制器在控制数据与计算机的传输上就起了至关重要的作用。 论文针对信息技术的发展和开发过程中的实际需要,设计了一个蓝牙HCI-UART(Host Controller Interface-Universal Asynchronous Receiver/Transmitter)控制接口的模块。使用VHDL将其核心功能集成,既可以单独使用,也可集成到系统芯片中,并且整个设计紧凑、稳定且可靠,其用途广泛,具有一定的使用价值。 本设计采用TOP-DOWN设计方法,整体上分为UART接口和蓝牙主机控制器接口两部分。首先根据UART和蓝牙主机控制器接口的实现原理和设计指标要求进行系统设计,对系统划分模块以及各个模块的信号连接;然后进行模块设计,设计出每个模块的功能,并用VHDL语言编写代码来实现模块功能;再使用ISE8.2I自带的仿真器对各模块进行功能仿真和时序仿真;最后进行硬件验证,在Virtex-II开发板上对系统进行功能验证。实现了发送、接收和波特率发生等功能,验证了结果,表明设计正确,功能良好,符合设计要求。

    标签: HCIUART FPGA 蓝牙

    上传时间: 2013-07-13

    上传用户:wfl_yy

  • 基于FPGA的SDI接口的研究与开发.rar

    串行数字接口SDI是目前使用最广泛的数字视频接口。它是遵循SMPTE-259M和EBtJ-Tech-3267标准制定的,己经被世界上众多数字视频设备生产厂家普遍采纳并作为标准视频接口,主要用在非线性编辑系统、视频服务器、虚拟演播室以及数字切换矩阵和数字光端机等场合。 以往的SDI接口在实现方法上有成本高、灵活性低等缺点,针对这些不足,本文在研究串行数字接口工作原理的基础上,提出了一种基于FPGA的标清串行数字接口(SD-SDI)的设计方案,并使用SOPC Builder构成一个Nios II处理器系统,将SDI接口以IP核形式嵌入到FPGA内部,从而提高系统的集成度,使之具有视频数据处理速度快、实时性强、性价比高的特点。具体研究内容包括: 1.在分析SDI接口的硬件结构和工作原理的基础上,提出了串行数字接口的嵌入式系统设计方法,完成了SDI接口卡的FPGA芯片内部配置以及驱动电路、均衡电路、电源电路等硬件电路设计。 2.采用软逻辑方法实现SDI接口的传输功能,进行了具体的模块化设计与仿真。 3.引入Nios II嵌入式软核处理器对数据进行处理,设计了视频图像数据的采集程序。 该传输系统以Altera公司的Cyclone II EP2C35F672C8为核心芯片,通过发送和接收电路的共同作用,能够完成标清数字视频信号的传输,初步确立了以SDI接口为数据源的视频信号传输系统的整体模式和框架。

    标签: FPGA SDI 接口

    上传时间: 2013-07-31

    上传用户:zttztt2005

  • 基于FPGA的PCI总线接口桥接逻辑设计.rar

    随着信息技术的发展,数字信号的采集与处理在科学研究、工业生产、航空航天、医疗卫生等部门得到越来越广泛的应用,这些应用中对数字信号的传输速度提出了比较高的要求。传统的基于ISA总线的信号传输效率低,严重制约着系统性能的提高。 PCI总线以其高性能、低成本、开放性、软件兼容性等众多优点成为当今最流行的计算机局部总线。但是,由于PCI总线硬件接口复杂、不易于接入、协议规范比较繁琐等缺点,常常需要专用的接口芯片作为桥接,为了解决这一系列问题,本文提出了一种基于FPGA的PCI总线接口桥接逻辑的实现方案,支持PCI突发访问方式,突发长度为8至128个双字长度,核心FPGA芯片采用ALTERA公司的CYCLONE FPGA系列的EP1C6Q240C8,容量为6000个逻辑宏单元,速度为-8,编译后系统速度可以达到80MHz,取得了良好的效果。 基于FPGA的PCI总线接口桥接逻辑的核心是PCI接口模块。在硬件方面,特别讨论了PCI接口模块、地址转换模块、数据缓冲模块、外部接口模块和SRAM DMA控制模块等五个功能模块的设计方案和硬件电路实现方法,着重分析了PCI接口模块的数据传输方式,采用模块化的方法设计了内部控制逻辑,并进行了相关的时序仿真和逻辑验证,硬件需要软件的配合才能实现其功能,因此设备驱动程序的设计是一个重要部分,论文研究了Windows XP体系结构下的WDM驱动模式的组成、开发设备驱动程序的工具以及开发系统实际硬件的设备驱动程序时的一些关键技术。 本文最后利用基于FPGA的PCI总线接口桥接逻辑中的关键技术,对PCI数据采集卡进行了整体方案的设计。该系统采用Altera公司的cyclone Ⅱ系列FPGA实现。

    标签: FPGA PCI 总线接口

    上传时间: 2013-07-24

    上传用户:ca05991270