虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

接口设备

  • USB设备在人体工学接口模式驱动的设备读写通讯程序.在TMS32F103上测试通过

    USB设备在人体工学接口模式驱动的设备读写通讯程序.在TMS32F103上测试通过

    标签: F103 USB TMS 103

    上传时间: 2013-12-24

    上传用户:iswlkje

  • 音乐设备数字接口(MIDI)与计算机音乐 228页 14.5M.pdf

    多媒体相关专辑 48个 11.7G音乐设备数字接口(MIDI)与计算机音乐 228页 14.5M.pdf

    标签:

    上传时间: 2014-05-05

    上传用户:时代将军

  • USB设备接口 HID键盘驱动技术文档

    USB设备接口 HID键盘驱动技术文档 

    标签: USB HID 设备接口 文档 键盘 驱动技术

    上传时间: 2018-07-23

    上传用户:cumtcmx

  • 单片机-第十章-输入输出设备及接口技术

    单片机-第十章-输入输出设备及接口技术这是一份非常不错的资料,欢迎下载,希望对您有帮助!

    标签: 单片机 接口

    上传时间: 2022-03-04

    上传用户:jiabin

  • STM32F103RCT6原理图开发板通过总线接口可外接不同设备

    STM32F103RCT6原理图开发板通过总线接口可外接不同设备

    标签: stm32f103rct6 原理图 开发板 总线 接口

    上传时间: 2022-07-23

    上传用户:wangshoupeng199

  • USB20设备控制器IP核的设计与FPGA验证.rar

    随着计算机及其外围设备的发展,传统的并行接口和串行接口在灵活性和接口扩展等方面存在的缺陷愈来愈不可回避,并逐渐成为计算机通信的瓶颈。在这种情况下,通用串行总线(Universal Serial Bus,USB)诞生了。USB由于具有传输速率高、价格便宜、使用方便、灵活性高、支持热插拔、接口标准化和易于扩展等优点,目前已经成为计算机外设接口的主流技术,在计算机外围设备和消费类电子领域正获得越来越多的应用。 @@ 本文基于USB2.0协议规范,设计了一款支持高速和全速传输的USB2.0设备控制器IP核。文中着重介绍了这款设备控制器IP核的设计和FPGA验证工作,详细研究并分析了USB2.0规范,根据规范提出了一种USB2.0设备控制器整体构架方案,描述了各个功能子模块硬件电路的功能及实现。从可重用的角度出发,对设备控制器模块进行优化设计,增加多个灵活的配置选项,根据不同的应用对硬件进行配置,使其在满足要求的情况下去除冗余电路,以减少占用面积和功耗,从而使其灵活地应用于各种USB系统。本文还研究了IP核的验证方法,并对所设计的USB2.0设备控制器建立了功能完备的ModelSim仿真验证环境,搭建了FPGA硬件验证平台,设计了具有AHB接口的设备控制器和带有8051的设备控制器,并分别在FPGA平台上进行了功能验证。 @@ 本文所设计的USB2.0设备控制器IP核可配置性高,使用者可以自由配置所需端点的个数以及每个端点类型等,可以集成于多种USB系统中,适于各类USB设备的开发。本课题所取得的成果为USB2.0设备类的研究和开发积累了经验,并为后来实验室某项目测试芯片的USB数据采集提供了参考方案,也为未来USB3.0接口IP核的开发和应用奠定了基础。 @@关键词USB2.0控制器;IP核;FPGA;验证

    标签: FPGA USB 20

    上传时间: 2013-06-30

    上传用户:nanfeicui

  • 基于DSPFPGA的1553B总线接口通讯模块的研究和应用.rar

    随着我国国防现代化建设进程的不断深化,MIL-STD-1553B标准总线已经广泛应用于各种军事应用领域。MIL-STD-1553B标准总线是我国上世纪八十年代引进的一种现代化通讯总线,国内称为GJB289A-97。该总线技术以其高稳定性和使用灵活等特点成为现代航空电子综合系统所广泛采用的通讯总线技术。 1553B总线接口模块作为总线通讯的基本单元,其性能成为影响航电综合系统整体性能的一个关键因素。目前国内关于1553B总线通讯模块的对外接口类型较多,而基于嵌入式处理芯片的接口设计并不多见。嵌入式设备具有体积小、重量轻、实时性强、功耗小、稳定性好以及接口方便等优点。 基于以上考虑,论文中提出了以DSP+FPGA为平台实现MIL-STD-1553B总线的收发控制,通过收发控制器和变压器实现MIL-STD-1553B总线的电气连接。根据项目需求,设计分为硬件和软件两部分完成。在对MIL-STD-1553B总线协议进行详细研究后提出了总体设计方案原理图。再根据方案需求设计各功能模块。使用硬件描述语言VHDL对各功能模块进行逻辑和行为描述,最终实现在FPGA中,使其能够完成1553B数据码的接受、发送、转换和与处理器的信息交换等功能。DSP部分采用的是TI公司的TMS320F2812,使用C语言进行软件的编译,使其实现总体控制和通讯的调度等功能。 该方案经过实际参与1553B总线通讯系统验证实验,证明各项技术指标均达到预定的目标,可以投入实际应用。

    标签: DSPFPGA 1553B 总线接口

    上传时间: 2013-04-24

    上传用户:671145514

  • USB20加密接口芯片的设计及其FPGA验证.rar

    信息安全在当今的社会生产生活中已经被广为关注,对敏感信息进行加密是提高信息安全性的一种常见的和有效的手段。 常见的加密方法有软件加密和硬件加密。软件加密的方法因为加密速度低、安全性差以及安装不便,在一些高端或主流的加密处理中都采用硬件加密手段对数据进行处理。硬件加密设备如加密狗和加密卡已经广泛地应用于信息加密领域当中。 但是加密卡和加密狗因为采用的是多芯片结构,即采用独立的USB通信芯片和独立的加密芯片来分别实现数据的USB传输和加密功能,如果在USB芯片和加密芯片之间进行数据窃听的话,很轻易地就可以获得未加密的明文数据。作者提出了一种新的基于单芯片实现的USB加密接口芯片的构想,采用一块芯片实现数据的USB2.0通信和AES加密功能,命名为USB2.0加密接口芯片。 USB2.0加密接口芯片采用了USB2.0接口标准和AES加密算法。该加密芯片可以实现与主机的快速通信,具有快速的密码处理能力,对外提供USB接口,支持基于USB密码载体的自身安全初始化方式。 根据设计思想,课题研究并设计了USB2.0加密接口芯片的总体硬件架构,设计了USB模块和AES加密模块。为了解决USB通信模块与AES加密模块之间存在的数据处理单元匹配以及速度匹配问题,本文设计了AESUSB缓冲器,优化了AES有限域加密算法。最后,利用VerilogHDL语言在FPGA芯片上实现了USB2.0加密接口芯片的功能,并在此基础之上对加密芯片的通信和加密性能进行了测试和验证。

    标签: FPGA USB 20

    上传时间: 2013-05-24

    上传用户:黄华强

  • 基于USB2.0的FPGA配置接口及实验开发评估板设计与实现.rar

    信号与信息处理是信息科学中近几年来发展最为迅速的学科之一,随着片上系统(SOC,System On Chip)时代的到来,FPGA正处于革命性数字信号处理的前沿。基于FPGA的设计可以在系统可再编程及在系统调试,具有吞吐量高,能够更好地防止授权复制、元器件和开发成本进一步降低、开发时间也大大缩短等优点。然而,FPGA器件是基于SRAM结构的编程工艺,掉电后编程信息立即丢失,每次加电时,配置数据都必须重新下载,并且器件支持多种配置方式,所以研究FPGA器件的配置方案在FPGA系统设计中具有极其重要的价值,这也给用于可编程逻辑器件编程的配置接口电路和实验开发设备提出了更高的要求。 本论文基于IEEE1149.1标准和USB2.0技术,完成了FPGA配置接口电路及实验开发板的设计与实现。作者在充分理解IEEE1149.1标准和USB技术原理的基础上,针对Altcra公司专用的USB数据配置电缆USB-Blaster,对其内部工作原理及工作时序进行测试与详细分析,完成了基于USB配置接口的FPGA芯片开发实验电路的完整软硬件设计及功能时序仿真。作者最后进行了软硬件调试,完成测试与验证,实现了对Altera系列PLD的配置功能及实验开发板的功能。 本文讨论的USB下载接口电路被验证能在Altera的QuartusII开发环境下直接使用,无须在主机端另行设计通信软件,其兼容性较现有设计有所提高。由于PLD(Programmable Logic Device)厂商对其知识产权严格保密,使得基于USB接口的配置电路应用受到很大限制,同时也加大了自行对其进行开发设计的难度。 与传统的基于PC并口的下载接口电路相比,本设计的基于USB下载接口电路及FPGA实验开发板具有更高的编程下载速率、支持热插拔、体积小、便于携带、降低对PC硬件伤害,且具备其它下载接口电路不具备的SignalTapII嵌入式逻辑分析仪和调试NiosII嵌入式软核处理器等明显优势。从成本来看,本设计的USB配置接口电路及FPGA实验开发板与其同类产品相比有较强的竞争力。

    标签: FPGA USB 2.0

    上传时间: 2013-04-24

    上传用户:lingduhanya

  • LPC总线接口UART控制器FPGA实现.rar

    随着微电子技术的快速发展,电子设备逐渐向着小型化、集成化方向发展;人们在要求设备性能不断提升的同时,还要求设备功耗低、体积小、重量轻、可靠性高。同样在我军武器装备的研制过程中,也对各武器装备都提出了新的要求,特别是针对单兵配备的便携设备,对体积、功耗、扩展性的要求更是严格。 在某手持式设备的开发项目中,需要设计一块接口板,要求实现高达8个串行口扩展以及能源管理和数字输入输出接口等功能,该接口板与处理器模块的连接总线采用LPC总线,整个手持设备除了对功能有基本的要求以外,对体积及功耗都提出了极高的要求。针对项目的具体设计要求,经过与传统设计方法的比较,决定采用FPGA来实现LPC接口及UART控制器功能。 论文的主要目标是完成LPC接口的UART控制在FPGA中的实现。对于各模块中的关键的功能部分,文中对其实现都进行了详细的说明。整个设计全部采用硬件描述语言(HDL)实现,并且采用了分模块的设计风格,具有很好的重用性。 为了在硬件平台上验证设计,还实做了FPGA验证平台,并用C语言编写了测试程序。经过验证,该方案完全实现了接口板的功能要求,并且满足体积和功耗上的要求,取得了良好的效果。 论文通过采用FPGA作为电路设计的核心,以一种新的数字电路设计方法实现电路功能;旨在通过这种方式,不断提高设备的性能并拓展设计者思想。

    标签: FPGA UART LPC

    上传时间: 2013-04-24

    上传用户:wlyang