虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

按键识别程序

  • VIP专区-单片机源代码精选合集系列(63)

    eeworm.com VIP专区 单片机源码系列 62资源包含以下内容:1. LM3S系列单片机JTAG口解锁应用笔记.pdf2. 单片机原理及应用实验指导书.pdf3. SIMATIC H系统介绍.pdf4. 8 位A/D 型OTP/Mask 单片机.pdf5. OM8361/TDA8362单片机的设计及应用.pdf6. 如何使用S12X MCU上的外设协处理器XGATE.rar7. MCS-51单片机引脚功能.doc8. 五年单片机学习之旅杂感.pdf9. PHILIPS单片机选型指南.rar10. 单片机百科知识大全.pdf11. 中颖单片机入门与实战.pdf12. 单片机在键盘上的应用.pdf13. 单片机的选择和使用.pdf14. 51单片机C语言提升教程.pdf15. I/O 型单片机使用手册.pdf16. CYGNAL 单片机基础知识手册.pdf17. 单片机串行口.pdf18. 单片机指令系统原理.rar19. 几款单片机的原理介绍.pdf20. CYGNAL 单片机原理.pdf21. 单片机大全.pdf22. PIC 单片机之发生器.pdf23. 单片机串行通信发射机.pdf24. 单片机外围电路设计.pdf25. TMS570LS系列产品简介.pdf26. TMS570LS 系列技术参考手册(英).pdf27. LPC900系列单片机复位电路的可靠性设计.pdf28. LM3S 系列微控制器Flash 存储器应用.pdf29. HT45R35在触控按键中的应用(使用C语言).pdf30. IAP在应用中编程及其应用.pdf31. HT45R3X系列触控IC按键识别SWIP介绍.pdf32. CANopen协议讲座(5)之CANopen从站模块(XGa.pdf33. CAT1024 CAT1025 器件数据手册.pdf34. HT46R74D-1在人体电子秤中的应用.pdf35. CANopen协议讲座(4)之CANopen从站设备及其应用.pdf36. 80C51系列开发平台产品选型指南.pdf37. HT48E MCU系列1K位EEPROM.pdf38. SPCE061A的指令周期表.pdf39. TMS570LS系列数据手册(英).pdf40. 基于HT46系列MCU的A/D应用范例.pdf41. CPU周期与微指令周期的关系.ppt42. HT66F40使用SIM SPI Mode的用法.pdf43. 基于HT49的MCU控制HT93LC46的读写.pdf44. HOLTEK I/O 8-Bit MTP输入/输出型八位可多.pdf45. 基于HT66Fx0使用ADC所有功能.pdf46. HT47R20A-1中A/D转换的使用.pdf47. 异常向量表重映射.rar48. 基于HT56R678的A/D功能使用.pdf49. 基于HT48和HT46的交通信号灯的设计实现.pdf50. LM3S系列微控制器中断优先级应用笔记.rar51. HT56R678使用I2C进行数据传输的方法.pdf52. CTM系列隔离CAN收发器模块选型指南.pdf53. 基于HT47C20L的R-F型低电压八位Mask单片机.pdf54. CANopen协议讲座(6)之CANopen从站模块(Tin.pdf55. 基于HT46R46E/HT46C46E经济A/D型八位单片机.pdf56. 基于HT46R065V的24V VFD八位OTP型单片机.pdf57. HT48 MCU定时器/计数器的应用.pdf58. HT46RS03系列2K OPA+Comparator型八位.pdf59. 基于HT45R37V的低功耗C/R-F型八位OTP单片机.pdf60. HT48 MCU读写HT24系列EEPROM的应用.pdf61. 基于HT45R37的低功耗C/R-F型八位OTP单片机.pdf62. HT49 MCU中看门狗计时器的设定.pdf63. Holtek指令集说明.pdf64. 基于HT49CVX的遥控接收软件模块设计指南.pdf65. 基于HT46RB50在USB充电器中的设计应用.pdf66. 51单片机C语言编程手册.rar67. HT45R38在触摸按键式电子时钟中的应用.pdf68. 自学单片机(提高篇).rar69. HT45R34在12KEY触摸按键中的应用(使用C语言).pdf70. LPC2000系列单片机学习指导书(英文).rar71. HT6221发码的接收原理及应用.pdf72. 自学单片机(入门篇)(入门篇).rar73. HT49 MCU的可编程分频器(PFD)使用指南.pdf74. Keil C51入门教程.rar75. HT47R20A-1时基(Time Base)使用介绍.pdf76. HT45R35VC/R-F型八位OTP单片机.pdf77. HT49R30A-1, HT49R50A-1,HT49R70.pdf78. HT46R47,HT46R22,HT46R23,HT46R2.pdf79. MSP430F449在超低功耗高精度雷达液位仪中的应用.pdf80. HT48 & HT46 MCU UART的软件实现方法.pdf81. 可编程系统级芯片提供了最大设计的灵活性.pdf82. 给初学单片机的经典必备实验.rar83. HT MCU 大型表格的读取.pdf84. LSI逻辑公司的低成本语音处理器.pdf85. MSP430系列C编译器编程指南.pdf86. 新型实用性低成本电子计价秤系统设计.pdf87. 单芯片手机的优点与挑战.pdf88. 用C18编译器进行Microwire串行EEPROM与PIC.pdf89. 高可靠性8位/16位All flash MCU结构、特点及应.rar90. EVALSPEAR600评估板材料清单.rar91. NEC 32位MCU V850系列产品介绍.pdf92. MSP430单片机实现微波成像系统的扫描控制与数据采集.pdf93. HT48 HT46 MCU与HT93LC46 EEPROM.pdf94. NEC MCU在马达方面的应用.pdf95. 单片机原理与应用课程.rar96. 单片机复位电路和振荡电路应用.pdf97. NEC 78K系列单片机安全性概览.pdf98. NEC78K0/KF1用户手册 8位单片微控制器.pdf99. PROTEUS VSM在单片机系统仿真中的应用.pdf100. 8位MCU升级至32位MCU的设计方案.pdf

    标签: 电子技术 精品课程

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(22)

    VIP专区-嵌入式/单片机编程源码精选合集系列(22)资源包含以下内容:1. 网络处理器例子程序1.2. 网络处理器例子程序2.3. 网络处理器例子程序3.4. 网络处理器例子程序.5. 网络处理器例子程序5.6. pcmic初始代码!.7. norflash 代码!.8. nand—flash source.9. Protel画PCB板的视频教程.10. 包括液晶键盘温度控制的温控仪表程序,可做工控仪表。.11. 二极管的常用封装格式.12. 最新的HD7279(c语言)驱动程序含有两个按键驱动程序及测试函数.13. fir滤波器程序的实现.14. at91rm9200初始化C代码.15. At91系列I2S代码.16. At91RM9200键盘代码(C).17. 基于rtos开发的浏览器!.18. winboard vcd software.19. ESS 公司VCD软件关于IR的编程!.20. 24C02读写源程序.21. 1线通信的实用程序.22. USB2.0(ISP1581MPEG)MPEG程序源代码.23. firmware for D12 USB-EPP Evaluation Kit.24. isp1160_pci_usb_host_MP100 USB主机设备程序~!.25. IR红外线编码技术.26. upd16312 vfd panel c 原程序.27. 液晶屏的c语言驱动程序.28. 红外遥控码的接收程序.29. 用计算机并口模拟SPI通讯的C源程序.30. 80x87.31. 一个通用的24C01-24C256共9种EEPROM的字节读写操作程序.32. PLC温度控制.33. 《牛奶巴斯德杀菌系统》。一本嵌入式教材中的源码范例.34. 用PIC的看一看,用C仿真PIC 16F84的指令集.国外搜集而来..35. 以太网到电信网转换的网关(简易版).36. c 5402 g729a编码源代码.37. vivi嵌入式系统bootloader.38. 《嵌入式固件开发》一书的源码.39. linux下的jtag调试软件.40. 为C8051F005制作的网络模块.

    标签: 自动变速器 结构原理

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(76)

    VIP专区-嵌入式/单片机编程源码精选合集系列(76)资源包含以下内容:1. stc12c的AD处理.2. 这是keil公司的mcm2300开发板上的.3. 一些关于SOPC,NIOS设计的论文,可以作毕业设计参考.4. 远程数据访问RDA等.5. 基于lpc2148的按键扫描程序.6. spce061a实现的键盘扫描程序.7. SPCE061A实现的LED拉幕显示效果.8. SPCE061A中位操作头文件.9. SPCE061A+SPCL051液晶显示汉字程序.10. keil.11. 采用LPC935控制的一个项目.12. 采用MPS430控制的项目.13. mm36sb020的spi的接口,已经调试过的 /* 定义命令 *//* #define ERSC 0x90f6 // 檫除整个芯片 // #define SRC 0xfffffffe //.14. LCD6963模块的驱动,可以显示汉字和图形. 线路图 89C51 T6963C -------- | 8 P1.0-1.7|=========== D0-7 | P3.0|--.15. ATmega16上面的ADC测试.16. 小液晶1602驱动程序.17. 基於C51的智能毫秒計, 精準到1ms, 含原理圖.18. NXP2103开发版图.19. 软件红外线接收程序 采用24MHz晶振.20. 串行口通信程序.21. 投票系统.22. 一担挑游戏.23. 组数游戏.24. 歌星大奖赛.25. VHDL mif file generator, which can generate several waves.26. uboot.27. 该程序是一个tffs文件系统的源码.28. 这是一个测试液晶显示的程序,测试LCD的亮灭.硬件连接如下: 3脚接偏置电阻,接个10K的可调,.RS4,RW5,E6脚接P3^3,P3^2,P3^1,程序头部有定义可根据实际修改.29. uart5/atmega8515/led 时钟显示.30. 逆变器原理图.31. 基于LPC2210的FFT程序.32. 这是我读研的时候用VB开发的一个与基于CAN-PCI5121的通讯小程序.33. 这是基于CAN-PCI5121开发的通讯程序.34. 将每一个声源加到混音缓冲器,经过处理后返回.35. 处理声源,时间,做好各类资源的调整工作,为声音的输入输出做准备..36. NiosII培训资料,主要讲述如何操作NIOS,对初学者帮助较大..37. 当前流行的802.15.4ZIGBEE协议的应用开发流程.38. Zigbee应用开发介绍说明.39. 完整的空调控制程序.40. VMMforSystemVerilog的源码资料 学习SystemVerilog的好东西.

    标签: 直升机 飞行

    上传时间: 2013-05-19

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(84)

    VIP专区-嵌入式/单片机编程源码精选合集系列(84)资源包含以下内容:1. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2鼠标与VGA控制模块.2. 基于fpga和sopc的用VHDL语言编写的EDA的VGA彩条信号显示控制器.3. 基于fpga和sopc的用VHDL语言编写的EDA的VGA图像显示控制器.4. 基于fpga和sopc的用VHDL语言编写的EDA的PS/2和VGA控制显示控制器.5. 基于fpga和sopc的用VHDL语言编写的EDA的USB控制模块程序.6. 基于fpga和sopc的用VHDL语言编写的EDA的32位Nios CPU嵌入式系统软硬件设计.7. 基于fpga和sopc的用VHDL语言编写的EDA的32位Nios CPU嵌入式系统及其DMA设计俄罗斯方块游戏机.8. 北京革新公司的2410的看门狗测试程序.9. 基于PHILIPS ARM7的I2C驱动程序.10. rf cc2420开发的PROTEL原理图 处理器mega128 公司内部资料.11. MP4 AVI CODE AND TFT LCD CODE.12. 鼠标驱动范例.13. 采用CPLD来培植ALTERA公司的CYCLONE系列FPGA.14. DVB系统的SDI数据数据传输接口.15. VHDL数字钟 数字电子钟 此数字电子钟具有的功能包括: 1. 计时.16. 这十篇论文主要讲述了VXWORKS对外设的控制和通讯.17. 这是语音芯片ISD4004的操作代码.18. 这是芯片PMC6388的硬件初始化程序.19. 优先级算法以及调度算法中其他的算法。想要下载的朋友可以看看.20. 基于DAM6416开发板的PCI下载程序.21. 基于DAM6416的通过PCI的主机和视频口的通信程序.22. 基于DAM6416的通过PCI的视频口和主机的通信程序.23. 自己编制的加法器的verilog程序 希望对大家有所帮助.24. 在win(2000/2003)下面制作CRAMFS映像文件 专门用于嵌入式Linux开发 由于网络上没有类似的软件 索性自己写了一个 经过测试OK 全部源代码.25. 51按键典型程序 51按键典型程序.26. 液晶显示控制模块T6963的完整C函数,包括图形文本方式显示.27. arm开发板资料CPLD源代码armcore9.28. G729编码和解码的Matlab源程序 调用方法: 1.需要编码时.29. 我编的遗传算法matlab程序.30. MPS430ZIGBB PPT文档 相关介绍 对了解有一定作用.31. 该程序用来测试89C51与IDE硬盘的接口.32. 一个使用PROTEL99设计的一款程控滤波器.33. 2410开发板底板原理图.34. 大学当中跟别人做的一个东西.35. C8051F350的抗干扰数据采集程序.36. 完整的在Windows下 PCI CAN卡的驱动程序及测试程序.37. 功能:双字节十六进制小数转换成双字节BCD码小数 入口条件:待转换的双字节十六进制小数在R2、R3中。 出口信息:转换后的双字节BCD码小数仍在R2、R3中。 影响资源:PSW、A、B、R2.38. 浮点数代数值比较(不影响待比较操作数) 入口条件:待比较操作数分别在[R0]和[R1]中。 出口信息:若CY=1.39. 功能:浮点取整函数 入口条件:操作数在[R0]中。 出口信息:结果仍在[R0]中。 影响资源:PSW、A、R2、R3、R4、位PFA 堆栈需求: 6字节.40. 功能:浮点倒数函数 入口条件:操作数在[R0]中。 出口信息:OV=0时.

    标签: 显微

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(132)

    VIP专区-嵌入式/单片机编程源码精选合集系列(132)资源包含以下内容:1. siemens PLC Prodave 通讯测试.2. 嵌入式系统下IC卡读写的源码.3. 数字音乐盒的完整毕业论文(无意中发现的) 课程设计题目:数字音乐盒.4. 单片机程序 51频率计 电子琴 电子钟程序 按键显示程序最终成功.5. C和C++嵌入式系統編程,一本很嵌入式编程很不错的书..6. 一本很好的介绍yaffs文件系统的书籍 一本很好的介绍yaffs文件系统的书籍.7. 一份不错的介绍linux 字符设备驱动的文档.8. 工程源文件.9. 用holtek3000开发环境编写的单片机C语言键盘扫描程序..10. 8255A芯片的中文资料(8255中文资料).11. mmc_sd_memory_card_driver_sample_project_files spi接口的sd card driver 示例.12. 单片机驱动PS2键盘的c程序 希望对大家有用.13. a voice guide client ,it is the second part of voice guide center.14. msc map program to control client of tools,use for map guide.15. C#开发win ce代码程序.16. 2个Ds18b20温度显示电路,12Mhz晶振.17. 5分频cpld原理图实现.18. 可实现对ST公司的SPI flash的控制.19. VB实现的文字图片原理,VB实现的文字图片原理.20. 嵌入式C精华, 嵌入式C精华,嵌入式C精华.21. 一个简单的电梯控制系统,主要实现一个八层楼的电梯控制..22. 这是自己做的一个gui 及供初学者参考 如有问题可以一起讨论 可发到我的邮箱里 完成的功能就是 对uicontrol的应用.23. 触摸屏校正算法,主流嵌入式设计都使用此算法,个人觉得经典..24. 基于51单片机的源码,内有液晶显示(IIC)接口,还实现串口和定时操作等功能..25. 链接器和加载器,这是一本全面介绍我们编写的程序是如何被链接的,个人觉得做嵌入式开发的软件工程师必备的一本书..26. 使用Embest IDE for ARM 开发环境及ARM 软件模拟器; 通过实验掌握简单ARM 汇编指令的使用方法。.27. AD7714是一款24位高速AD转换芯片.28. Cortex-M3是首款基于ARMv7-M架构的处理器.29. 刚刚学习ne2000驱动开发.30. ATMEL的芯片资料pdf文档.31. GPS卫星定位模块的驱动程序.32. 还可以.33. 基于CAN总线的分布式三维步进电机控制系统.34. 自己做的交通灯~~~用c编写的.35. 基于PCI总线的高速数据传输.36. [转载]嵌入到系统进程中,检查并报告主板型号.37. Freescale_071112_1公司内部的器件资料.38. 关于2410开发板的u-boot的说明.39. CX1-22R 可编程控制器(简称 CX1)是 22 路 I/O 一体化小型可编程控制器(PLC).40. PIC汇编各个时间延时小程序.

    标签: SD卡

    上传时间: 2013-04-15

    上传用户:eeworm

  • VIP专区-嵌入式/单片机编程源码精选合集系列(152)

    VIP专区-嵌入式/单片机编程源码精选合集系列(152)资源包含以下内容:1. SST25系列编程方法.2. altera pci license al tera pci license.3. 关于嵌入式开发的词汇表.4. PIC单片机控制12位高速串行DA(max538)产生阶梯波.5. 关于MATLAB的GUI 程序设计的电子书.6. 通过61单片机显示飞翔的小鸟.7. 61A的IRQ4中断有三个中断源:1KHz、2Kz和4KHz,每一个中断分别控制与IOA0-IOA1、IOA2-IOA3和IOA4-IOA7相连的LED灯。.8. 基于ADI blackfin处理器的范例程序.9. 这是我在网上找到的一个关于数字滤波的方法集锦.10. 基于51单片机.11. 台湾研华 PCM-3718HO 手册 可用于嵌入式开发.12. 硬盘安装Linux系统方法 boot.init 使用方法:显示所有隐藏和受保护文件.13. Design of Image Collection System Based on High-speed PCI Bus基于PCI总线的高速图像采集系统设计.14. 嵌入式LCD屏驱动.15. 在PCB设计中.16. 用ISD1700来实现读温度.17. AT89S52控制ZLG7289扩展键盘和数码管显示代码.18. 4*4键盘C51单片机程序.本程序已经调试通过.19. 很好的数字电路设计ppt.20. PLC通过RS232BD与计算机串口通信程序.21. Minigui编程应用开发,介绍了嵌入式环境下图形开发方法.22. 4个按键判断指令防真实验 4个按键判断指令防真实验.23. 运行程序.24. 在通讯领域中使用相当广泛的HDLC.25. 2乘8按键扫描程序 4个IO口 74LS164串行数据端 时钟端 两个普通IO口.26. FLASH BIOS擦写工具.27. high effeciency about embedded linux c.28. 基于linux下spi 转 GM8142串口.29. BCD码波盘 BCD码波盘.30. 8通道温度控制检测 8通道温度控制检测.31. 51单片机串行口扩展键盘接口仿真 51单片机串行口扩展键盘接口仿真.32. 51单片机实现的电子密码.33. 51定时器常用例子包括测频率测脉宽产生波形.34. 8051双机通信简例 8051双机通信简例.35. 12864液晶滚动显示.36. AD双通道采集程序+proteus仿真.37. DS18B20+LED显示的温度计D S18B20+LED显示的温度计DS18B20+LED显示的温度计.38. DS1302+DS18B20的液晶显示实时时钟DS1 302+DS18B20的液晶显示实时时钟.39. DS1621高精度温度测量模拟.40. 2006年下半年(11月)嵌入式系统设计师考试试题及答案.

    标签: DSL 方案

    上传时间: 2013-04-15

    上传用户:eeworm

  • 基于AT89C51系列单片机的按键识别的C51程序按键中断识别.rar

    基于AT89C51系列单片机的按键识别的C51程序按键中断识别.rar

    标签: C51 89C AT

    上传时间: 2015-08-24

    上传用户:woshiayin

  • 51单片机按键输入输出用数码管来进行显示识别的单片机程序.

    51单片机按键输入输出用数码管来进行显示识别的单片机程序.

    标签: 51单片机 按键输入 单片机程序 输出

    上传时间: 2016-09-27

    上传用户:cc1

  • 该程序用于控制键盘操作与识别

    该程序用于控制键盘操作与识别,在按键时候伴有声音,可以作为在Cygnal山开发类似程序的参考

    标签: 程序 控制 操作 键盘

    上传时间: 2015-10-03

    上传用户:royzhangsz

  • 4×4矩阵键盘识别处理,键盘处理程序的任务是:确定有无键按下

    4×4矩阵键盘识别处理,键盘处理程序的任务是:确定有无键按下,判断哪一个键按下,键的功能是什么;还要消除按键在闭合或断开时的抖动。两个并行口中,一个输出扫描码,使按键逐行动态接地,另一个并行口输入按键状态,由行扫描值和回馈信号共同形成键编码而识别按键,通过软件查表,查出该键的功能。

    标签: 矩阵键盘 处理程序 识别 键盘

    上传时间: 2017-05-21

    上传用户:zxc23456789