虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

彩灯电路

  • 六路循环彩灯控制电路

    0799、六路循环彩灯控制电路

    标签:

    上传时间: 2014-04-09

    上传用户:小鹏

  • 基于数字电路设计的彩灯控制器

    基于数字电路设计的彩灯控制器这是一份非常不错的资料,欢迎下载,希望对您有帮助!

    标签: 数字电路 彩灯控制器

    上传时间: 2021-12-03

    上传用户:ooaaooxx

  • 音乐彩灯控制器设计

    音频在电信号中表现为多个正弦波叠加而形成。音乐的大小就表现为是演唱者的声音的强弱起伏,它在音频信号中表现为正弦波的波峰和波谷,所以在他达到波峰时说明他的音量大。在波谷是音量就小,所就需要一个触发电路使他在音量大的时候就彩灯发光,音量小的时候灯灭。综合考虑:选择了NE555够成的单稳态电路,由于单稳态电路是低电平触发所以还需要一个反相放大器。

    标签: 音乐彩灯 制器设计

    上传时间: 2013-11-06

    上传用户:lz4v4

  • 花样广告灯电路的设计与仿真

     基于硬件集实现了8路彩灯控制,应用555定时器设计了频率为1 Hz的时钟电路,为系统提供时钟信号;将74LS161设计成16进制电路,利用其输出的低三位QCQBQA生成自动加1,循环变化的地址信号,为译码器提供3位地址输入;将74LS138设计成8路时分电子开关,控制8路彩灯轮流通断。基于Multisim对设计电路仿真,仿真结果证明了设计电路功能与理论分析的一致性,对电路的仿真波形表明,系统彩灯循环周期为8 s,每灯持续点亮时间为1 s。

    标签: 广告灯 仿真 电路

    上传时间: 2013-11-16

    上传用户:二十八号

  • 梁祝乐曲演奏电路设计

    梁祝乐曲演奏电路设计, 循环八路彩灯设计以及各模块源码。

    标签: 电路设计

    上传时间: 2016-06-11

    上传用户:zsjinju

  • 试设计一种彩灯控制器控制8盏灯。该彩灯控制器有4种自动切换的花样。第一种花样为彩灯从右到左

    试设计一种彩灯控制器控制8盏灯。该彩灯控制器有4种自动切换的花样。第一种花样为彩灯从右到左,然后从左到右逐次点亮,全灭全亮;第二种花样为彩灯两边同时亮一个逐次向中间移动再散开;第三种花样为彩灯两边同时亮两个逐次向中间移动再散开;第四种花样为彩灯两边同时亮三个,然后四亮四灭,四灭四亮,最后一灭一亮。四个花样自动变换,重复以上过程。输入时钟频率为500Hz,灯亮的时间在1—4秒之间,可以自由控制。电路中以“1”代表灯亮,以“0”代表灯灭。

    标签: 彩灯控制器 彩灯 控制 自动

    上传时间: 2017-06-21

    上传用户:csgcd001

  • 8路移存型彩灯题目要求两种花型

    8路移存型彩灯题目要求两种花型,本次实验分别实现这两种花型,它的设计主要采用74194接成扭环形结构的移位寄存器来实现,整个电路主要由编码发生器、控制电路、脉冲发生器构成可以实现控制8个以上的彩灯,并且可以组成多种花型。

    标签: 8路 彩灯

    上传时间: 2014-11-29

    上传用户:l254587896

  • 模拟电路彩灯实验

    模拟电路实验。控制LED灯的闪烁方式与快慢。

    标签: 模拟电路 彩灯 实验

    上传时间: 2016-04-21

    上传用户:creepcheck

  • 显示器电路原理与维修

    显示器电路原理与维修

    标签: 显示器 电路原理

    上传时间: 2013-04-15

    上传用户:eeworm

  • 电路分析基础课件 PPT版

    电路分析基础课件 PPT版

    标签: 电路分析基础

    上传时间: 2013-04-15

    上传用户:eeworm