虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

强行关机

  • 一个用VC++写的定时关机的小程序的源代码

    一个用VC++写的定时关机的小程序的源代码

    标签: VC 定时关机 程序 源代码

    上传时间: 2017-09-01

    上传用户:lepoke

  • 批量关机 delphi

     用户局网内批量关机,使用windows的wmi方式

    标签: 批量关机delphi

    上传时间: 2016-01-05

    上传用户:472631626

  • 单键开关机电路

    一键开关机电路集锦,可用于手持设备,电池供电设备等场合,代替专用芯片完成开关机电路。

    标签: 单键 开关机 电路

    上传时间: 2016-11-13

    上传用户:shunzi8

  • 电脑定时关机

    利用该cmd 命令,可以是实现对电脑的定时关机功能。如果临时有事,而又不能马上关闭计算机,可以利用这个命令来进行定时操作电脑关机。

    标签: 电脑 定时关机

    上传时间: 2017-06-05

    上传用户:chognchogn

  • java语言实现模拟关机(Timer+系统命令)

    java语言Timer类实现模拟定时关机原理,帮助大家理解学习其Timer类的操作和电脑的系统命令

    标签: java 语言 模拟 关机 系统命令

    上传时间: 2018-06-12

    上传用户:zoudeng

  • Labview的自动关机小程序

    Labview的自动关机小程序,非常适合初学者参考

    标签: Labview 自动关机 程序

    上传时间: 2019-05-28

    上传用户:zqyk8k8

  • 关机小程序()转载

    MiniShutoff官方网站——最好用的定时关机、定时重启软件,免费、强大、易用,支持多种定时关机、重启方式。 (wsgsoft.com)

    标签: 关机 程序

    上传时间: 2022-07-12

    上传用户:zengiswejun

  • Labview的自动关机小程序

    Labview的自动关机小程序,以下为软件截图:

    标签: labview 自动关机程序

    上传时间: 2022-07-19

    上传用户:XuVshu

  • 级联多电平变频器测控系统的设计.rar

    多电平逆变器中每个功率器件承受的电压相对较低,因此可以用低耐压功率器件实现高压大容量逆变器,且采用多电平变换技术可以显著提高逆变器输出电压的质量指标。因此,随着功率器件的不断发展,采用多电平变换技术将成为实现高压大容量逆变器的重要途径和方法。本文选取其中一种极具优势的多电平拓扑结构一级联多电平变频器作为研究对象,完成了其拓扑结构、控制策略及测控系统的设计。 @@ 首先,对多电平变频器的研究意义,国内外现状进行了分析,比较了三种成熟拓扑结构的特点,得出了级联型多电平变频器的优点,从而将其作为研究对象。对比分析了四种调制策略,确定载波移相二重化的调制方法和恒压频比的控制策略,进行数学分析和理论仿真,得出了选择的正确性及可行性。并指出了级联单元个数与载波移相角的关系和调制比对输出电压的影响;完成了级联变频器数学模型的建立和死区效应的分析。 @@ 其次,完成了相关硬件的设计,包括DSP、CPLD、IPM的选型,系统电源的设计、检测(转速、电流、电压、故障)电路的设计、通信电路的设计等。用Labwindows/CVI实现了上位机界面的编写,实现了开关机、设定转速、通信配置、电压电流转速检测、电流软件滤波、谐波分析。编写了下位机DSP的串口通信、AD转换、转速检测(QEP)以及部分控制程序。 @@ 最后,在实验台上完成硬件和软件的调试,成功的实现了变频器载波移相SPWM的多电平输出,并驱动异步电机进行了空载变频试验,测控界面能准确的与下位机进行通信,快捷的给定各种控制命令,并能实时的显示变频器的输出频率、输出电压和输出电流,为实验调试增加了方便性,提高了工作效率。 @@关键词:级联多电平逆变器;载波移相;IPM;DSP;Labwindows/CVI;测控界面

    标签: 级联 电平变频器 测控系统

    上传时间: 2013-04-24

    上传用户:米卡

  • 西门子3508型手机工作原理

    西门子3508型手机工作原理1,电源部分电路,开关机控制电路主要由电源IC D200及主微处理器D103组成,其主要作用是在话机加电池或外接充电器情况下,按下开关机键时产生一系列复位信号。

    标签: 3508 西门子 手机 工作原理

    上传时间: 2013-07-28

    上传用户:asdfasdfd