虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

开发文档

  • 比较流行的RTP/RTCP开发包

    比较流行的RTP/RTCP开发包,这个是一个API文档

    标签: RTCP RTP 比较 开发包

    上传时间: 2015-11-04

    上传用户:kjl

  • nat穿越开实时通讯开发中是个不可避免的问题

    nat穿越开实时通讯开发中是个不可避免的问题,这是个英文文档,希望能给大家带来一些帮助

    标签: nat 实时通讯

    上传时间: 2015-12-09

    上传用户:chenxichenyue

  • 网络通信模块。开发包的使用者需要自行承担使用本开发包的风险

    网络通信模块。开发包的使用者需要自行承担使用本开发包的风险,开发包作者不会为使用本开发包带来的任何影响和损失负责。 允许通过任何方式散发本开发包的拷贝或者是随同你开发的软件代码一起散发,但是前提是只能散发未经过任何修改的开发包拷贝,并且在散发时必须保证下载包的完整性,即至少需要包括此处下载的所有文件中的源代码,文档和例程。

    标签: 开发包 网络通信 模块 风险

    上传时间: 2014-01-03

    上传用户:asdkin

  • 本程序计算的是由U18(18/4)表计算混料均匀设计5水平18次试验的情况 具体算法可以参照《正交与均匀试验设计(方开泰

    本程序计算的是由U18(18/4)表计算混料均匀设计5水平18次试验的情况 具体算法可以参照《正交与均匀试验设计(方开泰,马长兴著,科学出版社出版)》一书144页开始的混料均匀设计一节。 或参见算法描述文档。

    标签: U18 计算 18 程序

    上传时间: 2014-11-30

    上传用户:weiwolkt

  • AT91RM9200开发版上实现的网络设备驱动程序的分析文档

    AT91RM9200开发版上实现的网络设备驱动程序的分析文档,对网络驱动程序开发作详细论述。

    标签: 9200 AT 91 RM

    上传时间: 2013-12-28

    上传用户:thesk123

  • 个人数据加密引擎PDS开发包

    个人数据加密引擎PDS开发包,有详细的说明文档和开发指南

    标签: PDS 数据加密 引擎 开发包

    上传时间: 2014-11-28

    上传用户:yulg

  • canon SDK 开发包

    canon SDK 开发包,RD SDK 1.1.1,支持canon PowerShot S30, PowerShot S40, PowerShot S45, PowerShot S50, PowerShot S60, PowerShot S70 PowerShot G1, PowerShot G2, PowerShot G3, PowerShot G5, PowerShot G6 PowerShot Pro90 IS, PowerShot Pro1数码相机。支持相机的远程控制,照片拍摄及下载,含开发包、文档、例子。

    标签: canon SDK 开发包

    上传时间: 2016-10-11

    上传用户:13517191407

  • 本档含详细的电路原理、源程序

    本档含详细的电路原理、源程序,AT89C51主控,利用车辆检测器不仅可开发电子警察,还可开发出如:自动显示泊车数的停车场,实时配时的路口信号机系统,自动车库,交通车流量计数器等。

    标签: 电路原理 源程序

    上传时间: 2014-11-22

    上传用户:zhliu007

  • GSM 模块软件开发包 开发包说明 开发包基于GSM模块开发

    GSM 模块软件开发包 开发包说明 开发包基于GSM模块开发,适用于任何希望通过GSM模块来实现短信息系统的系统, 开发包的主要功能是短信息的发送和接收。 开发包包括内容 1、操作使用说明――开发包根目录下为pdf文件,说明了如何正确使用GSM模块; 2、测试工具――/Tools/ 目录下,包括串口测试程序和模块测试程序; 3、开发接口―― /DLL/目录下,包括AscendComm.dll , AscendSMS.dll ,AscendSMS.lib 和AscendSMS 动态链接库接口文档.doc ; 4、例子程序―― /Example/目录下,包括VC,VB,Delphi 等开发工具调用的具体例 子,以及源代码。

    标签: GSM 开发包 模块 软件

    上传时间: 2017-01-06

    上传用户:牧羊人8920

  • lm75A温度数字转换器 FPGA读写实验Verilog逻辑源码Quartus工程文件+文档资料

    lm75A温度数字转换器 FPGA读写实验Verilog逻辑源码Quartus工程文件+文档资料,FPGA为CYCLONE4系列中的EP4CE6E22C8. 完整的工程文件,可以做为你的学习设计参考。LM75A 是一个使用了内置带隙温度传感器和模数转换技术的温度数字转换器。它也是一个温度检测器,可提供一个过热检测输出。LM75A 包含许多数据寄存器:配置寄存器用来存储器件的某些配置,如器件的工作模式、OS 工作模式、OS 极性和OS 故障队列等(在功能描述一节中有详细描述);温度寄存器(Temp),用来存储读取的数字温度;设定点寄存器(Tos & Thyst),用来存储可编程的过热关断和滞后限制,器件通过2 线的串行I2C 总线接口与控制器通信。LM75A 还包含一个开漏输出(OS),当温度超过编程限制的值时该输出有效。LM75A 有3 个可选的逻辑地址管脚,使得同一总线上可同时连接8个器件而不发生地址冲突。LM75A 可配置成不同的工作条件。它可设置成在正常工作模式下周期性地对环境温度进行监控或进入关断模式来将器件功耗降至最低。OS 输出有2 种可选的工作模式:OS 比较器模式和OS 中断模式。OS 输出可选择高电平或低电平有效。故障队列和设定点限制可编程,为了激活OS 输出,故障队列定义了许多连续的故障。温度寄存器通常存放着一个11 位的二进制数的补码,用来实现0.125℃的精度。这个高精度在需要精确地测量温度偏移或超出限制范围的应用中非常有用。正常工作模式下,当器件上电时,OS 工作在比较器模式,温度阈值为80℃,滞后75℃,这时,LM75A就可用作一个具有以上预定义温度设定点的独立的温度控制器。module LM75_SEG_LED ( //input input                   sys_clk           ,input                   sys_rst_n         ,inout                   sda_port          ,//output output wire              seg_c1         ,output wire              seg_c2         ,output wire              seg_c3         ,output wire              seg_c4         ,output reg               seg_a          ,output reg               seg_b          ,output reg               seg_c          ,output reg               seg_e          ,output reg               seg_d          ,output reg               seg_f          ,output reg               seg_g          ,output reg               seg_h          ,      output reg              clk_sclk                        );//parameter define parameter WIDTH = 8;parameter SIZE  = 8;//reg define reg    [WIDTH-1:0]       counter             ;reg    [9:0]             counter_div         ;reg                      clk_50k             ;reg                      clk_200k            ;reg                      sda                 ;reg                      enable              ;

    标签: lm75a 数字转换器 fpga verilog

    上传时间: 2021-10-27

    上传用户:ooaaooxx