虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

帧内编码

高效率视频编码(HEVC)中的帧内编码(英语:IntraCoding,又称画面内编码)可以视为高阶视频编码(AdvancedVideoCoding,H.264/AVC)的扩展,因为他们都是利用空间上的取样预测来编码,而高效率视频编码的帧内编码包含以下基本元素:
  • 基于FPGA的实时图像融合处理系统

    随着多媒体技术发展,数字图像处理已经成为众多应用系统的核心和基础。图像处理作为一种重要的现代技术,已经广泛应用于军事指挥、大视场展览、跟踪雷达、电视会议、导航等众多领域。因而,实现高分辨率高帧率图像实时处理的技术不仅具有广泛的应用前景,而且对相关领域的发展也具有深远意义。 大视场可视化系统由于屏幕尺寸很大,只有在特制的曲面屏幕上才能使细节得到充分地展现。为了在曲面屏幕上正确的显示图像,需要在投影前实时地对图像进行几何校正和边缘融合。而现场可编程门阵列(FPGA)则是用硬件处理实时图像数据的理想选择,基于FPGA的图像处理技术是世界范围内广泛关注的研究领域。 本课题的主要工作就是设计一个以FPGA为核心的硬件系统,该系统可对高分辨率高刷新率(1024*768@60Hz)的视频图像实时地进行几何校正和边缘融合。 论文首先介绍了图像处理的几何原理,然后提出了基于FPGA的大视场实时图像融合处理系统的设计方案和模块功能划分。系统分为算法与软件设计,硬件电路设计和FPGA逻辑设计三个大的部分。本论文主要负责FPGA的逻辑设计。围绕FPGA的逻辑设计,论文先介绍了系统涉及的关键技术,以及使用Verilog语言进行逻辑设计的基本原则。 论文重点对FPGA内部模块设计进行了详细的阐述。仲裁与控制模块是顶模块的主体部分,主要实现系统状态机和时序控制;参数表模块主要实现SDRAM存储器的控制器接口,用于图像处理时读取参数信息。图像处理模块是整个系统的核心,通过调用FPGA内嵌的XtremeDSP模块,高速地完成对图像数据的乘累加运算。最后论文提出并实现了一种基于PicoBlaze核的12C总线接口用于配置FPGA外围芯片。 经过对寄存器传输级VerilogHDL代码的综合和仿真,结果表明,本文所设计的系统可以应用在大视场可视化系统中完成对高分辨率高帧率图像的实时处理。

    标签: FPGA 实时图像 处理系统

    上传时间: 2013-05-19

    上传用户:恋天使569

  • 多功能车辆总线一类设备的FPGA实现

    多功能车辆总线一类设备是一个在列车通信网(TCN,TrainCommunication Network)中普遍使用的网络接口单元。目前我国的新式列车大多采用列车通信网传输列车中大量的控制和服务信息。但使用的列车通信网产品主要为国外进口,因此迫切需要研制具有自主知识产权的列车通信网产品。 论文以一类设备控制器的设计为核心,采取自顶向下的模块设计方法。将设备控制器分为同步层和数据处理层来分别实现对帧的发送与接收处理和对帧数据的提取与存储处理。 同步层包含帧的识别模块、曼彻斯特译码模块、曼彻斯特编码与帧封装三个模块。帧识别模块检测帧的起始位并对帧类型进行判断。译码模块根据采集的样本值来判断曼彻斯特编码的值,采样的难点在于非理想信号带来的采样误差,论文使用结合位同步的多点采样法来提高采样质量。帧分界符中的非数据符不需要进行曼彻斯特编码,编码时在非数据符位关闭编码电路使非数据符保持原来的编码输出。 数据处理层以主控单元(MCU,Main Control Unit)和通信存储器为设计核心。MCU是控制器的核心,对接收的主帧进行分析,判断是从通信存储器相应端口取出应答从帧并发送,还是准备接收从帧并存入通信存储器。通信存储器存储设备的通信数据,合适的地址分配能简化MCU的控制程序,论文固定了通信存储器端口大小使MCU可以根据一个固定的公式进行端口的遍历从而简化了MCU程序的复杂度。数据在传输中由于受到干扰和冲突等问题而出现错误,论文采用循环冗余检验码结合偶检验扩展来对传输数据进行差错控制。 最后,使用FPGA和硬件描述语言Verilog HDL开发出了MVB一类设备。目前该一类设备已运用在SS4G电力机车的制动控制单元(BCU.Brake Control Unit)中并在铁道科学研究院通过了TCN通信测试。一类设备的成功研制为列车通信网中总线管理器等高类设备的开发奠定了坚实的基础。

    标签: FPGA 多功能 总线 设备

    上传时间: 2013-07-27

    上传用户:qazxsw

  • OFDM发射机系统的FPGA设计

    无线局域网是计算机网络技术和无线通信技术相结合的产物,是利用无线媒介传输信息的计算机网络。在无线通信信道中,由于多径时延不可避免地存在符号间干扰,正交频分复用(OFDM)作为一种可以有效对抗符号间干扰(ISI)和提高频谱利用率的高速传输技术,引起了广泛关注。在无线局域网(WLAN)系统中,OFDM调制技术已经被采用作为其物理层标准,并且公认为是下一代无线通信系统中的核心技术。基于IEEE802.11a的无线局域网标准的物理层采用了OFDM技术,能有效的对抗多径信道衰落,达到54Mbps的速度,而未来而的IEEE802.11n将达到100Mbps的高速。因此,研发以OFDM为核心的原型机研究非常有必要。 本文在深入理解OFDM技术的同时,结合相应的EDA工具对系统进行建模并基于IEEE802.11a物理层标准给出了一种OFDM基带发射机系统的FPGA实现方案。整个设计采用目前主流的自顶向下的设计方法,由总体设计至详细设计逐步细化。在系统功能模块的FPGA实现过程中,针对Xilinx一款160万门的Spartan-3E XCS1600E芯片,依照:IEEE802.11a帧格式,对发射机系统各个模块进行了详细设计和仿真: (1)训练序列生成模块,包括长,短训练序列; (2)信令模块,包括卷积编码,交织,BPSK调制映射; (3)数据模块,包括加扰,卷积编码,删余,交织,BPSK/QPSK/16QAM/64QAM调制映射; (4)OFDM处理部分,包括导频插入,加循环前缀,IFFT处理; (5)对整个发射处理部分联调,并给出仿真结果另外,还完成了接收机部分模块的FPGA设计,并给出了相应的顶层结构与仿真波形。最后提出了改进和进一步开发的方向。

    标签: OFDM FPGA 发射机

    上传时间: 2013-04-24

    上传用户:李彦东

  • 基于FPGA技术的HDLC帧收发器

    基于FPGA技术的HDLC帧收发器的设计与实现

    标签: FPGA HDLC 收发器

    上传时间: 2013-05-24

    上传用户:lindor

  • AVS音频编码中长短窗的Matlab仿真

    AVS音频编码中长短窗的Matlab仿真及FPGA实现

    标签: Matlab AVS 音频编码 仿真

    上传时间: 2013-04-24

    上传用户:tyg88888

  • 可重构24bit音频过采样DAC的FPGA

    基于过采样和∑-△噪声整形技术的DAC能够可靠地把数字信号转换为高精度的模拟信号(大于等于16位)。采用这一架构进行数模转换具有诸多优点,例如极低的失配噪声和更高的可靠性,便于实现嵌入式集成等,最重要的是可以得到其他DAC结构所无法达到的精度和动态范围。在高精度测量,音频转换,汽车电子等领域有着广泛的应用价值。 本文采用∑-△结构以FPGA方式实现了一个具有高精度的数模转换器,在24比特的输入信号下,达到了约150dB的信噪比。作为一个灵活的音频DAC实现方案。该DAC可以对CD/DVD/HDCD/SACD等多种制式下的音频信号进行处理,接受并转换采样率为32/44.1/48/88.2/96/192kHz,字长为16/18/20/24比特的PCM数据,具备良好的兼容性和通用性。 由于非线性和不稳定性的存在,高阶∑-△调制器的设计与实现存在较大的难度。本文综合大量文献中的经验原则和方法,阐述了稳定的高阶高精度调制器的设计流程;并据此设计了达到24bit精度和满量程输入范围的的5阶128倍调制器。本文创新性地提出了∑-△调制器的一种高效率流水线实现结构。分析表明,与其他常见的∑-△调制器实现结构相比,本方案具有结构简单、运算单元少等优点;此外在同样信号采样率下,调制器所需的时钟频率大大降低。 文中的过采样滤波模块采用三级半带滤波器和一个可变CIC滤波器级联组成,可以达到最高128倍的过采样比,同时具有良好的通带和阻带特性。在半带滤波器的设计中采用了CSD编码,使结构得到了充分的简化。 本文提出的过采样DAC方案具有可重配置结构,让使用者能够方便地控制过采样比和调制器阶数。通过积分梳状滤波器的配置,能够获得32/64/128倍的不同过采样比,从而实现对于32~192kHz多种采样率输入的处理。在不同输入字长情况下,通过调制器的重构,则可以将调制器由高精度的5阶模式改变为功耗更低的3阶模式,满足不同分辨率信号输入时的不同精度要求。这是本文的另一创新之处。 目前,该过采样DAC已经在XilinxVirtexⅡ系列FPGA器件下得到硬件实现和验证。测试表明,对于从32kHz到192kHz的不同输入信号,该DAC模块输出1比特码流的带内信噪比均能满足24比特数据转换应用的分辨率要求。

    标签: FPGA bit DAC 24

    上传时间: 2013-07-08

    上传用户:从此走出阴霾

  • 有线数字电视广播系统信道编码

    随着数字电视全国范围丌播时间表的临近,数字电视技术得到很大发展,数字电视信号在信源基带数据和信道传输等方面已经进一步标准化,数字电视传播途径也越来越广,在卫星、地面及有线电视网中传输数字电视信号得到迅速发展。借着2008年奥运的东风,数字电视领域的应用研究方兴未艾。 本课题目的是完成有线数字电视广播系统的重要设备--调制器的设计和实现,核心器件选用FPGA芯片。系统硬件实现以国家标准GY/T 170-2001(有线数字电视广播信道编码与调制规范)为主要依据,以Xilinx公司的Virtex系列(Virtex 4,Virtex 5)芯片及相关开发板(ML402、ML506)为平台,主要任务是基于相关标准对其实用技术进行研究和开发。完成了信道编码和调制的模块划分、Verilog HLD程序的编写(或IP核的调用)和仿真以及在板调试和联调等工作,设计目的是在提高整个系统集成度的前提下实现多频点调制。 本文在研究现有数字电视网络技术和相关产品的基础上,以国标GY/T170-2001为主要依据并参阅了其他的相关标准,提出了多频点QAM调制器的实现方案。整个工作包括:模块划分,完成了基带物理接口(输入)、包头反转与随机化、RS编码、卷积交织、码流变换、差分编码、星座映射、基带成型(包括Nyquist滤波器、半带滤波器、CIC滤波器的设计或模块调用)、高端DAC的配置(输出)等模块的Verilog HLD程序的编写(或者IP核调用)和仿真等工作;成功进行了开发板板级调试,调试的过程中充分利用Xilinx公司的开发板和调试软件ChipScope,成功设计了验证方案并进行了模块验证;最后进行了各模块联调工作,设计了系统验证方案并成功完成对整个系统的验证工作。 经测试表明,该系统主要性能达到国家相关标准GY/T 198-2003(有线数字电视广播QAM调制器技术要求和测量方法)规定的技术指标,可以进入样机试生产环节。

    标签: 有线数字电视 广播系统 信道编码

    上传时间: 2013-04-24

    上传用户:jiangfire

  • DVBT系统中内编解码模块的软件仿真

    数字电视按传输方式分为地面、卫星和有线三种。其中,DVB-S和DVB-C这两个全球化的卫星和有线传输方式标准,目前已作为世界统一标准被大多数国家所接受。而对于地面数字电视广播标准,经国际电讯联盟(ITU)批准的共有三个,包括欧盟的DVB-T(Digital Video Broadcasting-Terrestrial,数字视频地面广播)标准、美国的ATSC(Advanced Television System Committee,先进电视制式委员会)标准和日本的ISDB-T(Terrestrial Integrated Services DigitalBroadcasting,综合业务数字广播)标准。综合比较起来,欧洲的DVB-T标准在技术及应用实践上都更加成熟。 本论文首先介绍了DVB-T系统的主要结构,针对DVB-T标准中各模块的实现进行了阐述,并根据发射机端各个模块讨论了接收机端相关模块的算法设计。 随后,论文给出了基于Microsoft Visual Studio 2005平台实现的数字电视基带信号产生与接收的软件仿真系统的总体设计流程,重点讨论了内编解码器和内交织/解交织器的算法与实现,并在实现的多参数可选的数字电视基带信号产生与接收软件仿真平台上,重点分析了内编/解码模块在接收端Viterbi译码算法中采用硬判决、简化软判决以及不同调制方式时对DVB-T系统整体性能的影响。 最后,论文讨论了内码译码算法的实现改进,使得Viterbi译码更适合在FPGA上实现,同时针对逻辑设计进行优化以便节省硬件资源。论文重点讨论了对幸存路径信息存储译码模块的改进,比较了此模块三种不同的实现方式带来的硬件速率和资源的优劣,通过利用4块RAM对幸存路径信息的交互读写,完成了对传统回溯算法的改进,实现了加窗回溯的译码输出,同时实现了回溯长度可配置以实现系统不同的性能要求。

    标签: DVBT 模块 编解码

    上传时间: 2013-08-02

    上传用户:远远ssad

  • 期刊论文:分形图像压缩编码的原理与发展趋势

    ·期刊论文:分形图像压缩编码的原理与发展趋势

    标签: 论文 分形 图像压缩 发展趋势

    上传时间: 2013-06-13

    上传用户:TRIFCT

  • F2812电机控制例程(内附有详细工程文件)

    ·F2812电机控制例程(内附有详细工程文件)

    标签: F2812 电机控制 工程

    上传时间: 2013-06-13

    上传用户:exxxds