虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

对地观测

  • 基于FPGA的高速矩阵运算算法研究.rar

    矩阵运算是描述许多工程问题中不可缺少的数学关系,矩阵运算具有执行效率好、速度快、集成度高等优点,并且随着动态可配置技术的发展,灵活性也有了很大的提高。因此,寻找矩阵运算的高速实现方法是具有很大的现实意义,能够为高速运算应用提供技术支持。 为了提高研究成果的实用性与商用性,本文主要针对某种体积小、运算速度和性能要求很高的特殊场合设计并实现基于FPGA的矩阵运算功能。通过系统地研究FPGA功能结构、设计原理、DSP接口、IEEE-754标准,深入学习浮点数及矩阵的基础运算以及硬件编程语言等内容,根据矩阵运算的特点和原理,讨论了硬件设计方面重点对具体核心器件结构、特点以及有关FPGA的设计流程和控制器Verilog HDL硬件编程语言代码方面内容,确定了基于FPGA浮点运算及矩阵运算单元的Verilog HDL设计方法,在Quartus II平台上对其仿真、记录运算结果,并对采集到的数据结果进行了深入分析与总结。 本设计通过几种矩阵算法利用FPGA和MATLAB分别进行了实现测试,验证了设计结果的正确性,证明了本设计中矩阵运算速率的实用性与高效性,提高了系统资源利用率和系统可靠性,为今后在工程、军事、通讯等生产生活各个领域应用打下良好基础。

    标签: FPGA 矩阵运算 算法研究

    上传时间: 2013-07-07

    上传用户:xuanjie

  • 基于FPGA的GSM解扩解调的设计与实现.rar

    扩频通信系统与常规的通信系统相比,具有很强的抗窄带干扰,抗多径干扰,抗人为干扰的能力,并具有信息隐蔽、多址保密通信等优点,在近年来得到了迅速的发展。论文针对直扩通信系统中伪码和载波同步问题而展开,研究了直扩系统的结构、性能及完成了相关参数的计算,改进了包络算法,设计了解扩和解调器,最后用ISE9.1实现了解扩和解调器的仿真波形,验证了设计的正确性。 论文研究了扩频通信系统的特点、国内外发展现状及理论基础,完成了DS-QPSK接收机的解扩器和解调器的设计与实现。解扩器主要围绕着伪码的捕获与跟踪这一核心,分析了解扩器的结构、性能及其完成了相关参数的计算,完成了数字下变频器、伪码发生电路、伪码相关积分提取电路、多通道快码捕获电路、伪码跟踪鉴相电路、伪码时钟调整电路的设计,并在ISE9.1编程综合得到仿真结果,验证了设计的正确性。由于相关积分包络算法是整个系统的基础和核心,为了减少时延和系统所占硬件资源,改进了包络算法并得到了仿真验证。结果表明,它不但减少了硬件资源的占用、缩短了延时,而且对整个系统的优化起着决定性的作用。论文给出了伪码同步的仿真结果及资源占用情况,有力地说明了解扩器占用资源少、时延短等特点。 解调器研究了频偏及载波相位误差对信号的影响及同步方案,完成了数控振荡器、反正切鉴频器、环路滤波器的设计并得到了相关的仿真波形,实现了载波的跟踪,给出了仿真结果及资源占用情况,对系统实现过程中的一些经验进行了总结。最后是对论文工作的一些总结和对今后工作的展望。

    标签: FPGA GSM 解调

    上传时间: 2013-06-13

    上传用户:924484786

  • 基于FPGA的模糊PID控制算法的研究及实现.rar

    PID算法自从问世以来,一直受到广泛的关注。随着现代控制理论及智能控制技术的发展,PID算法也得到了长足的发展。结合传统的PID控制算法,针对特定的控制领域,出现了一些新的控制算法,模糊PID控制算法就是在此基础上渐渐形成并凸显其控制特色。 同时随着微电子技术的发展,现场可编程逻辑器件FPGA的发展及其EDA技术的日渐成熟,为集成控制芯片开拓了广阔的发展空间。FPGA的发展为基于硬件的算法模块的实现提供了可能性,同时节省了外围的电路,使算法模块的集成度大大提高。 本文针对当前国内外在算法研究方面的热点问题,对模糊PID算法进行了深入的分析和研究。通过对汽轮机调节系统的结构分析,对其进行了数学建模。采用某汽轮机的实际设计运行参数,利用Matlab仿真软件,对该汽轮机的数学模型进行了甩负荷动态特性仿真。仿真结果表明,模糊PID可以更好地解决汽轮发电机组在甩负荷过程中由于机组转子飞升量太大而导致危急保安装置动作,使得汽轮发电机组意外停机的问题,能够保证汽轮发电机组在意外甩负荷时机组正常的机械运转。根据模糊控制理论的特点及EDA技术和FPGA可编程逻辑器件的发展现状,提出了在FPGA上实现模糊PID算法的具体实现方案。在综合分析算法特性的基础上,选择Altera公司生产的CycloneⅡ系列中的EP2C35F672C6作为目标芯片,利用分层模块化设计思想,在Altera公司提供的QuartusⅡ开发环境中,利用原理图设计输入和VHDL设计输入相结合的方式实现了模糊PID控制算法,同时分别对实现的各个功能模块和整个算法模块进行了功能时序仿真。根据仿真结果分析,该设计实现了的模糊PID控制功能。 该控制算法模块的FPGA实现很好的避免了因CPU或者其它问题导致算法程序跑飞、程序死循环、复位不可靠等问题,提高了控制的可靠性。同时加强了模块的通用性,减少了系统硬件开发周期,节省了外围设备的电路,降低了设计开发成本。

    标签: FPGA PID 模糊

    上传时间: 2013-07-21

    上传用户:thinode

  • GPS接收机捕获跟踪算法研究及FPGA设计.rar

    全球定位系统(Global Positioning System—GPS)是新一代卫星导航定位系统,具有全球、全天候、连续、高精度导航与定位功能,能够为广大用户提供精确的三维坐标、速度和时间信息。因此,GPS系统被广泛地应用于生活中的各个领域。GPS系统用户主要是各种型号的接收机,而捕获跟踪技术是接收机的关键技术,同时也是一个技术难点。在GPS接收机中,导航电文是用户定位和导航的数据基础,为了得到导航电文必须要对GPS信号进行捕获跟踪。本文详细研究了GPS信号捕获跟踪技术,并进行了FPGA设计。 @@ 本文首先概述了GPS系统信号结构和GPS接收机工作原理,对GPS信号调制机理进行详细地阐述,重点分析了C/A码生成原理和特性。 @@ 其次叙述了GPS信号捕获的基础理论,重点研究时域滑动相关捕获方法,深入分析其算法和性能。用MATLAB中Simulink软件包搭建了可自由修改参数的GPS中频发生器,并在此平台上,对GPS信号时域滑动相关捕获算法进行仿真与分析。 @@ 接着重点研究了GPS信号跟踪技术,系统分析码跟踪环路和载波跟踪环路结构框图以及算法。在码跟踪环路方面,选用并分析了能分离载波的非相干超前滞后码锁定环的工作机理。在载波跟踪环路中选用对导航电文数据相位翻转不敏感的科斯塔斯环,并用数学模型分析GPS信号的解调过程。之后对整个跟踪环路进行MATLAB仿真,结果表明环路参数设计满足要求,并能成功解调出GPS导航电文。 @@ 最后本文在QuartusII环境下完成对GPS信号捕获跟踪系统的FPGA设计。根据对相关器硬件结构框架,对算法中各个模块的实现进行详细的说明,包括顶层设计到CA码、NCO等重要模块设计,并给出了仿真结果。 @@关键词:GPS接收机;捕获;跟踪;MATLAB仿真:FPGA

    标签: FPGA GPS 接收机

    上传时间: 2013-06-16

    上传用户:jacking

  • 基于CCSDS标准的帧同步算法研究及其FPGA实现.rar

    随着航天技术的发展,载人飞船、空间站等复杂航天器对空-地或空-空之间数据传输速率的要求越来越高。在此情况下,为了提高空间通信中数据传输的可靠性,保证接收端分路系统能和发送端一致,必须要经过帧同步。对卫星基带信号处理来说,帧同步是处理的第一步也是关键的一步。只有正确帧同步才能获取正确的帧数据进行数据处理。因此,帧同步的效率,将直接影响到整个卫星基带信号处理的结果。 @@ 本设计在研究CCSDS标准及帧同步算法的基础上,利用硬件描述语言及ISE9.2i开发平台在基于FPGA的硬件平台上设计并实现了单路数据输入及两路合路数据输入的帧同步算法,并解决了其中可能存在的帧滑动及模糊度问题。在此基础之上,针对两路合路输入时可能存在的两路输入不同步或帧滑动在两路中分布不均匀问题,设计实现了两路并行帧同步算法,并利用ModelSim SE 6.1f工具对上述算法进行了前仿真和后仿真,仿真结果表明上述算法符合设计要求。 @@ 本论文首先介绍了课题研究的背景及国内外研究现状,其次介绍了与本课题相关的基础理论及系统的软硬件结构。然后对单路数据输入帧同步、两路数据合路输入帧同步和两路并行帧同步算法的具体设计及实现过程进行了详细说明,并给出了后仿真结果及结果分析。最后,对论文工作进行了总结和展望,分析了其中存在的问题及需要改进的地方。 @@关键词 FPGA;CCSDS;帧同步:模糊度;帧滑动

    标签: CCSDS FPGA 标准

    上传时间: 2013-06-11

    上传用户:liglechongchong

  • USB20设备控制器IP核的设计与FPGA验证.rar

    随着计算机及其外围设备的发展,传统的并行接口和串行接口在灵活性和接口扩展等方面存在的缺陷愈来愈不可回避,并逐渐成为计算机通信的瓶颈。在这种情况下,通用串行总线(Universal Serial Bus,USB)诞生了。USB由于具有传输速率高、价格便宜、使用方便、灵活性高、支持热插拔、接口标准化和易于扩展等优点,目前已经成为计算机外设接口的主流技术,在计算机外围设备和消费类电子领域正获得越来越多的应用。 @@ 本文基于USB2.0协议规范,设计了一款支持高速和全速传输的USB2.0设备控制器IP核。文中着重介绍了这款设备控制器IP核的设计和FPGA验证工作,详细研究并分析了USB2.0规范,根据规范提出了一种USB2.0设备控制器整体构架方案,描述了各个功能子模块硬件电路的功能及实现。从可重用的角度出发,对设备控制器模块进行优化设计,增加多个灵活的配置选项,根据不同的应用对硬件进行配置,使其在满足要求的情况下去除冗余电路,以减少占用面积和功耗,从而使其灵活地应用于各种USB系统。本文还研究了IP核的验证方法,并对所设计的USB2.0设备控制器建立了功能完备的ModelSim仿真验证环境,搭建了FPGA硬件验证平台,设计了具有AHB接口的设备控制器和带有8051的设备控制器,并分别在FPGA平台上进行了功能验证。 @@ 本文所设计的USB2.0设备控制器IP核可配置性高,使用者可以自由配置所需端点的个数以及每个端点类型等,可以集成于多种USB系统中,适于各类USB设备的开发。本课题所取得的成果为USB2.0设备类的研究和开发积累了经验,并为后来实验室某项目测试芯片的USB数据采集提供了参考方案,也为未来USB3.0接口IP核的开发和应用奠定了基础。 @@关键词USB2.0控制器;IP核;FPGA;验证

    标签: FPGA USB 20

    上传时间: 2013-06-30

    上传用户:nanfeicui

  • FPGA中多标准可编程IO端口的设计.rar

    现场可编程门阵列(FPGA,Field Programmable Gate Array)是可编程逻辑器件的一种,它的出现是随着微电子技术的发展,设计与制造集成电路的任务已不完全由半导体厂商来独立承担。系统设计师们更愿意自己设计专用集成电路(ASIC,Application Specific Integrated Circuit).芯片,而且希望ASIC的设计周期尽可能短,最好是在实验室里就能设计出合适的ASIC芯片,并且立即投入实际应用之中。现在,FPGA已广泛地运用于通信领域、消费类电子和车用电子。 本文中涉及的I/O端口模块是FPGA中最主要的几个大模块之一,它的主要作用是提供封装引脚到CLB之间的接口,将外部信号引入FPGA内部进行逻辑功能的实现并把结果输出给外部电路,并且根据需要可以进行配置来支持多种不同的接口标准。FPGA允许使用者通过不同编程来配置实现各种逻辑功能,在IO端口中它可以通过选择配置方式来兼容不同信号标准的I/O缓冲器电路。总体而言,可选的I/O资源的特性包括:IO标准的选择、输出驱动能力的编程控制、摆率选择、输入延迟和维持时间控制等。 本文是关于FPGA中多标准兼容可编程输入输出电路(Input/Output Block)的设计和实现,该课题是成都华微电子系统有限公司FPGA大项目中的一子项,目的为在更新的工艺水平上设计出能够兼容单端标准的I/O电路模块;同时针对以前设计的I/O模块不支持双端标准的缺点,要求新的电路模块中扩展出双端标准的部分。文中以低压双端差分标准(LVDS)为代表构建双端标准收发转换电路,与单端标准比较,LVDS具有很多优点: (1)LVDS传输的信号摆幅小,从而功耗低,一般差分线上电流不超过4mA,负载阻抗为100Ω。这一特征使它适合做并行数据传输。 (2)LVDS信号摆幅小,从而使得该结构可以在2.5V的低电压下工作。 (3)LVDS输入单端信号电压可以从0V到2.4V变化,单端信号摆幅为400mV,这样允许输入共模电压从0.2V到2.2V范围内变化,也就是说LVDS允许收发两端地电势有±1V的落差。 本文采用0.18μm1.8V/3.3V混合工艺,辅助Xilinx公司FPGA开发软件ISE,设计完成了可以用于Virtex系列各低端型号FPGA的IOB结构,它有灵活的可配置性和出色的适应能力,能支持大量的I/O标准,其中包括单端标准,也包括双端标准如LVDS等。它具有适应性的优点、可选的特性和考虑到被文件描述的硬件结构特征,这些特点可以改进和简化系统级的设计,为最终的产品设计和生产打下基础。设计中对包括20种IO标准在内的各电器参数按照用户手册描述进行仿真验证,性能参数已达到预期标准。

    标签: FPGA 标准 可编程

    上传时间: 2013-05-15

    上传用户:shawvi

  • FPGA内全数字延时锁相环的设计.rar

    现场可编程门阵列(FPGA)的发展已经有二十多年,从最初的1200门发展到了目前数百万门至上千万门的单片FPGA芯片。现在,FPGA已广泛地应用于通信、消费类电子和车用电子类等领域,但国内市场基本上是国外品牌的天下。 在高密度FPGA中,芯片上时钟分布质量变的越来越重要,时钟延迟和时钟偏差已成为影响系统性能的重要因素。目前,为了消除FPGA芯片内的时钟延迟,减小时钟偏差,主要有利用延时锁相环(DLL)和锁相环(PLL)两种方法,而其各自又分为数字设计和模拟设计。虽然用模拟的方法实现的DLL所占用的芯片面积更小,输出时钟的精度更高,但从功耗、锁定时间、设计难易程度以及可复用性等多方面考虑,我们更愿意采用数字的方法来实现。 本论文是以Xilinx公司Virtex-E系列FPGA为研究基础,对全数字延时锁相环(DLL)电路进行分析研究和设计,在此基础上设计出具有自主知识产权的模块电路。 本文作者在一年多的时间里,从对电路整体功能分析、逻辑电路设计、晶体管级电路设计和仿真以及最后对设计好的电路仿真分析、电路的优化等做了大量的工作,通过比较DLL与PLL、数字DLL与模拟DLL,深入的分析了全数字DLL模块电路组成结构和工作原理,设计出了符合指标要求的全数字DLL模块电路,为开发自我知识产权的FPGA奠定了坚实的基础。 本文先简要介绍FPGA及其时钟管理技术的发展,然后深入分析对比了DLL和PLL两种时钟管理方法的优劣。接着详细论述了DLL模块及各部分电路的工作原理和电路的设计考虑,给出了全数字DLL整体架构设计。最后对DLL整体电路进行整体仿真分析,验证电路功能,得出应用参数。在设计中,用Verilog-XL对部分电路进行数字仿真,Spectre对进行部分电路的模拟仿真,而电路的整体仿真工具是HSIM。 本设计采用TSMC0.18μmCMOS工艺库建模,设计出的DLL工作频率范围从25MHz到400MHz,工作电压为1.8V,工作温度为-55℃~125℃,最大抖动时间为28ps,在输入100MHz时钟时的功耗为200MW,达到了国外同类产品的相应指标。最后完成了输出电路设计,可以实现时钟占空比调节,2倍频,以及1.5、2、2.5、3、4、5、8、16时钟分频等时钟频率合成功能。

    标签: FPGA 全数字 延时

    上传时间: 2013-06-10

    上传用户:yd19890720

  • 基于FPGA的数字存储示波器的设计.rar

    数字存储示波器在仪器仪表领域中占有重要的地位,应用范围相当广泛,所以对示波器的研制有重要的理论和实际意义。本文针对数字存储示波器的设计进行了深入的研究,旨在研制出100MHz带宽的数字存储示波器。 从各个方面考虑,选用了DSP、FPGA和单片机的方案来设计整个系统。整个系统采用单通道的方式。信号进来首先经过前端的调理电路把信号电压调整到AD的输入电压范围之内,这里调理电路主要是由信号衰减电路和信号放大电路所组成。调理后的信号再送到AD变换电路里面完成信号的数字化。然后把AD转换后的数据送到FPGA中,并把数据保存到FPGA中的FIFO中,FPGA中的电路主要包括有FIFO、触发系统、峰值检测、时基电路等。 DSP处理器主要是用来从FIFO中提取数据并进行相应的处理。因为DSP运算速度快,所以本文利用DSP来完成滤波和波形重建的时候的插值算法等功能。然后DSP利用其多缓冲串口把数据送到单片机,单片机把从DSP中发送过来的数据显示到LCD上,同时利用单片机来管理键盘等功能。在软件方面主要完成了程序的一些初始化驱动,比如说是FLASH驱动、LCD驱动、DSP串口初始化、FPGA初始化等相关工作。 由于本文采用FPGA,使得数字存储示波器的设计比较灵活,容易升级。可以根据自己的需要进行相关的改进,例如对外围电路做进一步地扩展。

    标签: FPGA 数字存储示波器

    上传时间: 2013-04-24

    上传用户:hw1688888

  • 基于FPGA的软件无线电数字接收机的研究.rar

    在现代电子系统中,数字化已经成为发展的必然趋势,接收机数字化是电子系统数字化中的一项重要内容,对数字化接收机的研究具有重要的意义。随着数字化理论和微电子技术的迅速发展,高速的中频数字化接收机的实现已经成为可能。本文研究了一种基于FPGA的软件无线电数字接收平台的设计,并着重研究了其中数字中频处理单元的设计和实现。FPGA器件具有设计灵活、开发周期短和开发成本低等优点,所以广泛应用于各种通信系统中。相比于传统的DSP串行结构,FPGA能够进行流水线性设计,对数据进行并行处理,所以FPGA在进行数据量大,要求实时处理的系统设计时有很大的优势。 本文首先首先分析了软件无线电当前的发展趋势及技术现状,针对存在的处理速度跟不上的DSP瓶颈问题,提出了中频软件无线电的FPGA实现方案。本文以FPGA实现为重点,在深入分析软件无线电相关理论的基础上,着重研究和完成了中频软件无线电数字接收平台两大模块的FPGA实现:数字下变频相关模块和数字调制解调模块。其中,在深入研究数字下变频实现结构的基础上,首先对数字下变频模块的数控振荡器(NCO)采用了直接频率合成技术(DDS)实现,其频率分辨率高,灵活,易于实现;高效抽取滤波器组由积分梳状滤波器(CIC),半带滤波器(HB),FIR滤波器组成。对积分梳状滤波器(CIC)本文采用了Hogenaur“剪除”理论对内部寄存器的位宽进行改进,极大地节约了资源,提高了运行速率。对FIR滤波器和半带滤波器采用了(DA)分布式算法,它的运行速度只与数据的宽度有关,只有加减法运算和二进制除法,既缩减了系统资源又大大节省了运算时间,实现了高效的实时处理。对数字调制解调模块,重点研究和完成了2ASK和2FSK的调制解调的FPGA实现,模块有很好的通用性,能方便地移植到其它的系统中。在文章的最后还对整个系统进行了Matlab仿真,验证了系统设计思想的正确性。在系统各个关键模块的设计过程中,都是先依据一定的设计指标进行verilog编程,然后再在Quartus软件中编译,时序仿真测试,并与Matlab仿真结果进行对比,验证设计的正确性。

    标签: FPGA 软件无线电 数字接收机

    上传时间: 2013-05-18

    上传用户:450976175