虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

宝典硬件设计<b>新手</b>入门宝典

  • 基于FPGA的串行通信实现与CRC校验

    本文应用EDA技术,基于FPGA器件设计与实现UART,并采用CRC校验。主要工作如下: 1、在异步串行通信电路部分完全用FPGA来实现。选用Xilinx公司的SpartanⅢ系列的XC3S1000来实现异步串行通信的接收、发送和接口控制功能,利用FPGA集成度比较高,具有在线可编程能力,在其完成各种功能的同时,完全可以将串行通信接口构建其中,可根据实际需求分配资源。 2、利用VerilogHDL语言非常容易掌握,功能比VHDL更强大的特点,可以在设计时不断修改程序,来适用不同规模的应用,而且采用Verilog输入法与工艺性无关,利用系统设计时对芯片的要求,施加不同的约束条件,即可设计出实际电路。 3、利用ModelSim仿真工具对程序进行功能仿真和时序仿真,以验证设计是否能获得所期望的功能,确定设计程序配置到逻辑芯片之后是否可以运行,以及程序在目标器件中的时序关系。 4、为保证数据传输的正确性,采用循环冗余校验CRC(CyclicRedundancyCheck),该编码简单,误判概率低,为了减少硬件成本,降低硬件设计的复杂度,本设计通过CRC算法软件实现。 实验结果表明,基于EDA技术的现场可编程门阵列FPGA集成度高,结构灵活,设计方法多样,开发周期短,调试方便,修改容易,采用FPGA较好地实现了串行数据的通信功能,并对数据作了一定的处理,本设计中为CRC校验。另外,可以利用FPGA的在线可编程特性,对本设计电路进行功能扩展,以满足更高的要求。

    标签: FPGA CRC 串行 通信实现

    上传时间: 2013-04-24

    上传用户:Altman

  • 基于FPGA的高分辨率图像采集卡

    随着计算机科学和视频技术的广泛发展,数字图像采集在电子通信与信息处理领域得到了广泛的应用,例如广播电视的数字化、网络视频、监视监控系统等. 视频图像采集卡作为计算机视频应用的前端设备,承担着模拟视频信号向数字视频信号转换的任务,在多媒体时代占据着重要的位置.设计一种功能灵活,使用方便,便于嵌入到系统中的视频信号采集电路具有重要的实用意义. 本文首先介绍数字图像采集系统的发展现状和前景,提出了本次设计的目标: 完成基于PCI总线的高分辨率图像采集卡设计.然后简单介绍了本次设计用到的基本理论:数据采集理论,特别说明了采样和量化的定义与区别,以及量化的几种方式和量化与AD技术之间的关系. 图像采集系统的基本构成,是以数字信号处理器为核心,控制外围的A/D、D/A转换器和外围存储器.本文对比了当下流行的DSP芯片和IFPGA芯片作为数字处理核心的优缺点,并根据系统实际需要,选用FPGA作为数字信号处理器.然后列举了几款常用A/D视频芯片,还介绍了SDRAM控制的基本流程,最后提出了系统的整体设计方案. 图像采集卡的硬件设计分为A/D前端模拟通道设计和FPGA数字信号传输及外围电路设计.本文重点介绍了A/D芯片外围电路连接和使用方法,对PCI总线和它的控制电路也做了详细阐述.对图像采集卡的PCB布局布线也有详细说明. 图像采集卡FPGA内部程序构成也是本文的一个重点.本次的程序设计主要分为数据采集模块,即与A/D接口模块,数据暂存模块,即SDRAM读写控制模块,数据处理模块和数据传输模块,即PCI控制模块.重点在于对的SDRAM的连续读写控制和各个模块间的协调工作.说明了.A/D采集数据从接收到存储详细过程,以及对SDRAM读写状态机和PCI总线的操控. 最后介绍了硬件调试和FPGA程序验证结果.详细说明了以Modelsim为平台的前端功能仿真和后端时序仿真,以及以SignalTapⅡ为平台,程序下载到FPGA中进行的实时验证.结果表明整个图像采集系统基本达到了系统设计中所给出的性能指标,证明了整个系统设计的正确性和合理性.

    标签: FPGA 高分辨率 图像采集卡

    上传时间: 2013-04-24

    上传用户:amandacool

  • 基于FPGA的视频图像检测技术

    在图像处理及检测系统中,实时性要求往往影响着系统处理速度的性能。本文在分析研究视频检测技术及方法的基础上,应用嵌入式系统设计和图像处理技术,以交通信息视频检测系统为研究背景,展开了基于FPGA视频图像检测技术的研究与应用,通过系统仿真验证了基于FPGA架构的图像并行处理和检测系统具有较高的实时处理能力,能够准确并稳定地检测出运动目标的信息。可见FPGA对提高视频检测及处理的实时性是一个较好的选择。 本文主要研究的内容有: 1.分析研究了视频图像检测技术,针对传统基于PC构架和DSP处理器的视频检测系统的弊端,并从可靠性、稳定性、实时性和开发成本等因素考虑,提出了以FPGA芯片作为中央处理器的嵌入式并行数据处理系统的设计方案。 2.应用模块化的硬件设计方法,构建了新一代嵌入式视频检测系统的硬件平台。该系统由异步FIFO模块、图像空间转换模块、SRAM帧存控制模块、图像预处理模块和图像检测模块等组成,较好地解决了图像采样存储、处理和传输的问题,并为以后系统功能的扩展奠定了良好的基础。 3.在深入研究了线性与非线性滤波几种图像处理算法,分析比较了各自的优缺点的基础上,本文提出一种适合于FPGA的快速图像中值滤波算法,并给出该算法的硬件实现结构图,应用VHDL硬件描述语言编程、实现,仿真结果表明,快速中值滤波算法的处理速度较传统算法提高了50%,更有效地降低了系统资源占用率和提高了系统运算速度,增强了检测系统的实时性能。 4.研究了基于视频的交通车流量检测算法,重点讨论背景差分法,图像二值化以及利用直方图分析方法确定二值化的阈值,并对图像进行了直方图均衡处理,提高图像检测精度。并结合嵌入式系统处理技术,在FPGA系统上研究设计了这些算法的硬件实现结构,用VHDL语言实现,并对各个模块及相应算法做出了功能仿真和性能分析。 5.系统仿真与验证是整个FPGA设计流程中最重要的步骤,针对现有仿真工具用手动设置输入波形工作量大等弊病,本文提出了一种VHDL测试基准(TestBench)方法解决系统输入源仿真问题,用TEXTIO程序包设计了MATLAB与FPGA仿真软件的接口,很好地解决了仿真测试中因测试向量庞大而难以手动输入的问题。并将系统的仿真结果数据在MATLAB上还原为图像,方便了系统测试结果的分析与调试。系统测试的结果表明,运动目标的检测基本符合要求,可以排除行走路人等移动物体(除车辆外)的噪声干扰,有效地检测出正确的目标。 本文主要研究了基于FPGA片上系统的图像处理及检测技术,针对FPGA技术的特点对某些算法提出了改进,并在MATLAB、QuartusⅡ和ModelSim软件开发平台上仿真实现,仿真结果达到预期目标。本文的研究对智能化交通监控系统的车流量检测做了有益探索,对其他场合的图像高速处理及检测也具有一定的参考价值。

    标签: FPGA 视频图像 检测技术

    上传时间: 2013-07-13

    上传用户:woshiayin

  • 基于FPGA的视频运动目标检测系统

    视频运动目标检测是数字视频信号处理、分析应用的一个重要领域,在民用和军事上有着广泛的应用,实现可靠、快速的运动目标检测系统有着非常重要的意义。 本文详细介绍了基于FPGA的视频运动目标检测系统的软硬件设计方法及其实现方案。首先介绍了视频信号的分类和性质,在此基础上,讨论分析了当前三种主要的运动目标检测算法的基本原理和优缺点;然后对运动目标检测系统的硬件设计制定了详细的方案,为系统的实现提供了稳定良好的硬件平台;最后,在前面分析研究的基础上,详细介绍了系统的FPGA硬件实现过程。 本文通过对视频运动目标检测算法的分析研究,采用了一种改进的帧间差分算法,并结合系统任务,最终开发了一种基于Altera公司CYCLONE系列FPGA芯片的实时视频运动目标检测系统。采用FPGA实现系统设计,可提高系统的处理速度,同时具有良好的灵活性和适应性。实际应用表明,本文所设计的运动目标检测系统能很好地检测出运动目标,并具有较好的抗干扰能力。

    标签: FPGA 视频运动 目标检测

    上传时间: 2013-04-24

    上传用户:hustfanenze

  • 扩频与解扩的关键技术研究和FPGA实现

    扩频通信是现代通信系统中的一种重要的通信方式,具有较强的抗干扰、抗多径性能以及频谱利用率高、多址通信等诸多优点,得到了广泛的应用。FPGA以其功能强大,开发过程投资少、周期短,可反复修改,保密性能好,开发工具智能化等特点成为当今硬件设计的重要方式。本文研究了直接序列扩频系统,重点研究了扩频部分和解扩部分,对扩频码的性能、匹配滤波器以及频差相差的估计和修正等关键技术进行了详细的分析和说明。在此基础上,运用VHDL语言进行了FPGA部分的功能实现,给出了一些相关的仿真及测试结果。最后对该系统还需进一步研究的问题进行了简要的介绍,对调试过程中的出现的一些问题进行了简单的分析和小结。

    标签: FPGA 扩频 关键技术

    上传时间: 2013-05-26

    上传用户:四只眼

  • FPGA装箱和划分算法研究

    随着集成电路的设计规模越来越大,FPGA为了满足这种设计需求,其规模也越做越大,传统平面结构的FPGA无法满足实际设计需求。首先是硬件设计上的很难控制,其次就是计算机软件面临很大挑战,所有复杂问题全部集中到布局布线(P&R)这一步,而实际软件处理过程中,P&R所占的时间比例是相当大的。为了缓解这种软件和硬件的设计压力,多层次化结构的FPGA得以采用。所谓层次化就是可配置逻辑单元内部包含多个逻辑单元(相对于传统的单一逻辑单元),并且内部的逻辑单元之间共享连线资源,这种结构有利于减少芯片面积和提高布通率。与此同时,FPGA的EDA设计流程也多了一步,那就是在工艺映射和布局之间增加了基本逻辑单元的装箱步骤,该步骤既可以认为是工艺映射的后处理,也可认为是布局和布线模块的预处理,这一步不仅需要考虑打包,还要考虑布线资源的问题。装箱作为连接软件前端和后端之间的桥梁,该步骤对FPGA的性能影响是相当大的。 本文通过研究和分析影响芯片步通率的各种因素,提出新的FPGA装箱算法,可以同时减少装箱后可配置逻辑单元(CLB)外部的线网数和外部使用的引脚数,从而达到减少布线所需的通道数。该算法和以前的算法相比较,无论从面积,还是通道数方面都有一定的改进。算法的时间复杂度仍然是线性的。与此同时本文还对FPGA的可配置逻辑单元内部连线资源做了分析,如何设计可配置逻辑单元内部的连线资源来达到即减少面积又保证芯片的步通率,同时还可以提高运行速度。 另外,本文还提出将电路分解成为多块,分别下载到各个芯片的解决方案。以解决FPGA由于容量限制,而无法实现某些特定电路原型验证。该算法综合考虑影响多块芯片性能的各个因数,采用较好的目标函数来达到较优结果。

    标签: FPGA 划分算法

    上传时间: 2013-04-24

    上传用户:zhaoq123

  • GPS信号CA码跟踪的FPGA实现

    GPS全球定位系统是美国国防部为军事目的而建立的卫星导航系统,其主要目的是解决海上、陆地和空中运载工具的导航定位问题。GPS作为新一代卫星导航系统,不仅具有全球、全天候、连续、高精度导航与定位能力,而且具有优良的抗干扰性和保密性。因此,发展全球定位系统是当今导航技术现代化的一个重要标志。在GPS接收机中,为了得到导航电文并对其进行解算,要完成复杂的信号处理过程。其中,怎样捕获到卫星信号,并对C/A码进行跟踪是研制GPS接收机的重要问题之一。本文在对GPS信号的结构进行深入的分析后,结合FPGA的特点,对算法进行设计及优化后,给出了相应的仿真。内容主要包括以下几个方面: 1.对GPS信号结构的产生原理进行了深入地分析,并对GPS信号的调制机理进行详细地阐述。 2.在GPS信号的捕获方面,采用了基于FFT频域的快速捕获的方法,即将接收到的GPS信号先利用快速傅立叶变换(FFT)变换到频域,在频域完成相应的运算后,再利用傅立叶反变换(IFFT)变换到时域。从而大大减少了计算量,加快了信号捕获的速度,提高了捕获性能。 3.在C/A码跟踪部分,本文采用了非相干延迟锁定环对C/A码进行跟踪。来自载波跟踪环路的本地载波将输入的信号变成基带信号,然后分别和本地码的三个不同相位序列进行相乘,将相乘结果进行累加,经过处理将得到码相位和当前的载波频率送到载波跟踪环路。 4.载波跟踪环,本文采用的是科斯塔斯环。载波跟踪环和码跟踪环在结构上相似,故本文只对关键的载波NCO进行了仿真。 本文的创新点主要是使用FPGA对整个GPS信号的捕获及C/A码的跟踪进行设计。此外,根据FPGA的特点,在不改变外部硬件设计的前提下,改变相应的IP核或相关的VHDL程序就可对系统进行各种优化设计,以适应不同类型的GPS接收机的不同功能。

    标签: FPGA GPS 信号

    上传时间: 2013-06-27

    上传用户:哇哇哇哇哇

  • 基于数据符号同步的FPGA仿真实现

    近年来,人们对无线数据和多媒体业务的需求迅猛增加,促进了宽带无线通信新技术的发展和应用。正交频分复用 (Orthogonal Frequency Division Multiolexing,OFDM)技术已经广泛应用于各种高速宽带无线通信系统中。然而 OFDM 系统相比单载波系统更容易受到频偏和时偏的影响,因此如何有效地消除频偏和时偏,实现系统的时频同步是 OFDM 系统中非常关键的技术。 本文讨论了非同步对 OFDM 系统的影响,分析了当前用于 OFDM 系统中基于数据符号的同步算法,并简单介绍非基于数据符号同步技术。基于数据符号的同步技术通过加入训练符号或导频等附加信息,并利用导频或训练符号的相关性实现时频同步。此算法由于加入了附加信息,降低了带宽利用率,但同步精度相对较高,同步捕获时间较短。 随着电子芯片技术的快速发展,电子设计自动化 (Electronic DesignAutomation,EDA) 技术和可编程逻辑芯片 (FPGA/CPLD) 的应用越来越受到大家的重视,为此文中对 EDA 技术和 Altera 公司制造的 FPGA 芯片的原理和结构特点进行了阐述,还介绍了在相关软件平台进行开发的系统流程。 论文在对基于数据符号三种算法进行较详细的分析和研究的基础上,尤其改进了基于导频符号的同步算法之后,利用 Altera 公司的 FPGA 芯片EP1S25F102015 在 OuartusⅡ5.0 工具平台上实现了 OFDM 同步的硬件设计,然后进行了软件仿真。其中对基于导频符号同步的改进算法硬件设计过程了进行了详细阐述。不仅如此,对于基于 PN 序列帧的同步算法和基于循环前缀 (Cycle Prefix,CP) 的极大似然 (Maximam Likelihood,ML)估计同步算法也有具体的仿真实现。 最后,文章还对它们进行了比较,基于导频符号同步设计的同步精度比较高,但是耗费芯片的资源多,另一个缺点是没有频偏估计,因此运用受到一定限制。基于 PN 序列帧的同步设计使用了最少的芯片资源,但要提取 PN 序列中的信号数据有一定困难。基于循环前缀的同步设计占用了芯片 I/O 脚稍显多。这几种同步算法各有优缺点,但可以根据不同的信道环境选用它们。

    标签: FPGA 数据 同步的 仿真实现

    上传时间: 2013-04-24

    上传用户:断点PPpp

  • 基于FPGA的PROFIBUSDP从站接口研究

    PROFIBUS现场总线技术是当今控制领域的一个热点。目前国内对于PROFIBUS-DP的应用和研究主要以西门子等国外大公司的成套设备为主,用单片机+固态程序的方法做PROFIBUS-DP接口控制器的技术比较成熟,而自主开发PROFIBUS-DP通用接口的研究却比较少。针对这一现状,本论文采用FPGA做控制器,提出了基于FPGA技术的从站接口通信模块的设计方案,使具有RS-232接口的从站可以通过该接口通信模块与PROFIBUS-DP主站进行通讯连接。 论文首先对PROFIBUS现场总线技术进行概述,主要从现场总线的技术特点、协议结构、传输技术、存取协议等方面进行介绍。对PROFIBUS-DP系统组成和配置、工作方式及数据传递、DP的功能和从站状态机制等进行研究和分析。然后详细论述了基于PROFIBUS-DP的通信接口的硬件及软件实现。 在硬件设计中,本文从PROFIBUS协议芯片SPC3实现的具体功能出发,结合EDA(Electronic Design Amomation)设计自项向下的设计思想,给出了总线接口的总体设计方案。同时给出其设计逻辑框图、算法流程图、引脚说明以及部分模块的仿真结果。并充分考虑了硬件的通用性及将来的扩展。 本设计使用VHDL描述,在此基础之上采用专门的综合软件对设计进行了综合优化,最后在FPGA(Field Programmable Gate Array)芯片EP1C6上得以实现。在软件设计中,详细介绍了通信接口的软件设计实现,包括状态机的实现、各种通信报文的实现、GSD文件的编写等。 再通过Siemens公司的CP5611网络接口卡和PC机做主站,使用COMPROFIBUS组态软件,组建系统进行通讯测试,得到良好结果。

    标签: PROFIBUSDP FPGA 接口

    上传时间: 2013-05-25

    上传用户:xwd2010

  • TS流复用器及其接口

    在数字电视系统中,MPEG-2编码复用器是系统传输的核心环节,所有的节目、数据以及各种增值服务都是通过复用打包成传输流传输出去。目前,只有少数公司掌握复用器的核心算法技术,能够采用MPEG-2可变码率统计复用方法提高带宽利用率,保证高质量图像传输。由于目前正处广播电视全面向数字化过渡期间,市场潜力巨大,因此对复用器的研究开发非常重要。本文针对复用器及其接口技术进行研究并设计出成形产品。 文中首先对MPEG-2标准及NIOS Ⅱ软核进行分析。重点研究了复用器中的部分关键技术:PSI信息提取及重构算法、PID映射方法、PCR校正及CRC校验算法,给出了实现方法,并通过了硬件验证。然后对复用器中主要用到的AsI接口和DS3接口进行了分析与研究,给出了设计方法,并通过了硬件验证。 本文的主要工作如下: ●首先对复用器整体功能进行详细分析,并划分软硬件各自需要完成的功能。给出复用器的整体方案以及ASI接口和DS3接口设计方案。 ●在FPGA上采用c语言实现了PSI信息提取与重构算法。 ●给出了实现快速的PID映射方法,并根据FPGA特点给出一种新的PID映射方法,减少了逻辑资源的使用,提高了稳定性。 ●采用Verilog设计了SI信息提取与重构的硬件平台,并用c语言实现了SDT表的提取与重构算法,在FPGA中成功实现了动态分配内存空间。 ●在FPGA上实现了.ASI接口,主要分析了位同步的实现过程,实现了一种新的快速实现字节同步的设计。 ●在FPGA上实现了DS3接口,提出并实现了一种兼容式DS3接口设计。并对帧同步设计进行改进。 ●完成部分PCB版图设计,并进行调试监测。 本复用器设计最大特点是将软件设计和硬件设计进行合理划分,硬件平台及接口采用Verilog语言实现,PSI信息算法主要采用c语言实现。这种软硬件的划分使系统设计更加灵活,且软件设计与硬件设计可同时进行,极大的提高了工作效率。 整个项目设计采用verilog和c两种语言完成,采用Altera公司的FPGA芯片EP1C20,在Quartus和NIOS IDE两种设计平台下设计实现。根据此方案已经开发出两台带有ASI和DS3接口的数字电视TS流复用器,经测试达到了预期的性能和技术指标。

    标签: TS流 复用器 接口

    上传时间: 2013-06-10

    上传用户:01010101