虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

宝典硬件设计<b>新手</b>入门宝典

  • 基于ARM和CPLD的可扩展嵌入式系统设计

    进入20世纪90年代后,随着全球信息化、智能化、网络化的发展,嵌入式系统技术获得了前所未有的发展空间。 嵌入式系统的最大特点之_是其所具有的目的性或针对性,即每一套嵌入式系统的开发设计都有其特殊的应用场合与特定功能,这也是嵌入式系统与通刚的计算机系统最主要的区别。由于嵌入式系统是为特定的目的而设计的,且常常受到体积、成本、功能、处理能力等各种条件的限制。因此,如果可以最大限度地提高应用系统硬件上和软件上的灵活性,就可以用最低的成本,最少的时间,快速的完成功能的转换。 本课题的目的在于提出并设计一种基于ARM(Advanced RISC Machines)和CPLD(Complex Programmable Logic Device)的可扩展功能嵌入式系统平台,并完成了系统的硬件设计和PCI(Peripheral Component Interconnect)桥的固件设计。设计过程中采用美国ALTIUM公司的ALTIUM DESIGNER 6.0 EDA软件开发了系统的硬件部分。在整个硬件开发环节中,充分采用高速PCB(Printed Circuit Board)的设计原则,并进行全面的电路仿真试验,保证了硬件系统的高度可靠性。本系统承袭了ARM7系列处理器高性能、低功耗、低成本的优点,并充分考虑到用户的需要,扩展了多种常用的外部设备接口以及蓝牙无线接口等,为将米各种可能的应用提供了完善的硬件基础。概括总结起来本文具体工作如下: 1.完全自主设计了具有高扩展性的基于LPC2292嵌入式处理器的嵌入式系统应用开发平台。基于该硬件平台,可以实现许多基于ARM架构处理器的嵌入式应刚而无需对硬什系统作出大的改变,如多协议转换器、CAN(Control Area Network)总线网关、以太网关、各种工业控制应用等。并在具体的设计实践中,总结出了嵌入式系统硬件平台的设计原则及设计方法。 2.完成了基于CPLD的PCI桥接芯片的同什设计,在ARM硬件平台上成功扩展了PCI设备,成功解决了ARM处理器和PCI从设备之间通讯的问题。 3.完成了对所开发的嵌入式系统硬件平台的测试工作,完成了基于AT89C51的PCI测试卡软硬件设计。基于此测试卡,可以实现对系统中的PCI通讯功能进行有效测试,以保证整个硬件系统正常、高效、稳定地运行。本系统的设计完成,使其可以作为嵌入式应用的二次开发或实验平台,用于工业产品开发及高校相关专业的实践教学。

    标签: CPLD ARM 扩展 嵌入式系统设计

    上传时间: 2013-05-22

    上传用户:sztfjm

  • 基于ARM嵌入式系统的GPS接收机设计

    由于全球定位系统在航天、航空、航海、海洋上程、大地测量、陆地导航以及军事上的大量运用及其广阔的应用前景,使得GPS接收机系统成为国内外相关领域竞相研究的对象。GPS系统的用户部分主要是各种型号的GPS接收机。所以GPS接收机中的微处理器的运算能力和功耗直接影响整机的性能。 本文所研究的是基于ARM微处理器和μC/OS—Ⅱ的嵌入式系统开发及其在GPS接收机中的应用。介绍了OPS接收机设计原理,分析了接收机硬件模块的组成和功能,设计了由FPGA和ARM完成基带信号处理及导航解算的接收机,建立了基于ARM和μC/OS—Ⅱ的GPS接收机嵌入式硬件开发平台。研究了嵌入式实时操作系统μC/OS—Ⅱ,分析了其内核的组成结构:与处理器无关代码、处理器相关代码、与应用相关代码,并重点分析和配置了其中与处理器相关和与应用相关的代码部分,最终将其成功移植到ARM LPC2290微处理器上。建立了基于ARM LPC2290和μC/OS—Ⅱ的嵌入式系统软件编译和调试的交叉环境,设计了运行在此环境下的中断和多任务来实现接收机信号处理、导航解算及显示等功能,最终完成了基于ARM和μC/OS—Ⅱ的GPS接收机软应用件设计。 总之,本文从研究嵌入式系统的软、硬件设计及其应用着手,掌握了嵌入式系统开发的核心技术,研制了基于ARM嵌入式开发平台的GPS接收机。

    标签: ARM GPS 嵌入式系统 收机设计

    上传时间: 2013-04-24

    上传用户:buffer

  • 硬件设计手册之模拟电路

    初学者和电子应用工程师必须应用和了解的模拟电路的设计

    标签: 硬件设计 模拟电路

    上传时间: 2013-04-24

    上传用户:youlongjian0

  • TFTLCD显示系统的设计

    如今IC设计进入了SOC(System-on-chip)设计时代。SOC是指在单一芯片上集成了微控制器、数字信号处理器、存储器、I/O接口等,可以实现信号采集、转换、存储、处理等功能的芯片。SOC设计是基于IP可重用性的设计过程。现在已有不少公司成功地开发了各种SOC总线规范,以便于IP核的可复用性设计。其中,ARM公司开发的AMBA(Advanced Microcontroller Bus Arehitecture)规范已经成为嵌入式应用的行业标准。嵌入式SOC芯片广泛应用于消费电子产品中,近年来随着彩屏手机、PDA等移动终端的普及,液晶电视等平板显示器件的推广,液晶显示器已经逐渐取代CRT成为主流的显示器件。LCD Driver IC作为液晶显示器的重要部件,需求量也日益增大。嵌入式液晶显示系统的设计是当今SOC设计中不可缺少的部分,而基于AMBA总线规范的LCD显示系统更是具备良好的性能和较大的潜力。 本文提出了一种基于AMBA总线规范的彩色TFT-LCD数字图像显示解决方案,硬件设计上包括APB存储接口模块、LCD控制模块,并用VHDL硬件描述语言进行了功能仿真,采用Mentor公司Modelsim5.8完成了系统功能验证;软件设计上完成了基于SAMSUNG公司S6D0110 TFT-LCD驱动芯片的测试程序的编写和系统测试。本设计不需要掌握TFT-LCD内部构造,复杂的内部驱动原理,只需要掌握AMBA总线规范和LCD的MPU并行接口时序,采用本课题设计出的LCD显示控制模块简单实用,便于推广应用。 本课题基于Xilinx公司的VirtexⅡ FF1152 PROTO开发平台完成了软件调试,实现了TFT-LCD图像显示。调试结果表明硬件和软件设计正确且取得了较为满意的结果。

    标签: TFTLCD 显示系统

    上传时间: 2013-06-02

    上传用户:小枫残月

  • 基于FPGA的DDS的研究设计与实现

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域。目前,常用的频率合成技术有直接式频率合成、锁相频率合成和直接数字频率合成(DDS)。DDS系统可以很方便地获得频率分辨率很精细且相位连续的信号,也可以通过改变相位字改变信号的相位,因此也广泛用于数字通信领域。 本论文是利用FPGA完成一个DDS系统。DDS是把一系列数字量形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换器产生已经用数字形式存入的正弦波(或其他任意波形)。一个典型的DDS系统应包括:相位累加器,可在时钟的控制下完成相位的累加(一般由ROM实现);DA转换电路,将数字形式的幅度码转换成模拟信号。 本文根据设计指标,进行了DDS系统分析和设计,包括DDS系统框图的设计,相位控制字和频率控字的设计,以及软件和硬件设计,重点在于利用FPGA改进设计,包括控制系统(频率控制器和初始相位控制器),寻址系统(相位累加器和数据存储器),以及转换系统(D/A转换器和滤波器)的设计。介绍了利用现场可编程逻辑门阵列(FPGA)实现数控振荡器(DNO,即DDS)的原理、电路结构,重点介绍了DDS技术在FPGA中的实现方法,给出了采用ALTERA公司的FIEX1OK系列FPGA芯片EPF10K20TC144-4芯片进行直接数字频率合成的VHDL源程序。

    标签: FPGA DDS

    上传时间: 2013-04-24

    上传用户:huangzchytems

  • 基于FPGA的人脸检测系统设计

    人脸识别技术继指纹识别、虹膜识别以及声音识别等生物识别技术之后,以其独特的方便、经济及准确性而越来越受到世人的瞩目。作为人脸识别系统的重要环节—人脸检测,随着研究的深入和应用的扩大,在视频会议、图像检索、出入口控制以及智能人机交互等领域有着重要的应用前景,发展速度异常迅猛。 FPGA的制造技术不断发展,它的功能、应用和可靠性逐渐增加,在各个行业也显现出自身的优势。FPGA允许用户根据自己的需要来建立自己的模块,为用户的升级和改进留下广阔的空间。并且速度更高,密度也更大,其设计方法的灵活性降低了整个系统的开发成本,FPGA 设计成为电子自动化设计行业不可缺少的方法。 本文从人脸检测算法入手,总结基于FPGA上的嵌入式系统设计方法,使用IBM的Coreconnect挂接自定义模块技术。经过训练分类器、定点化、以及硬件加速等方法后,能够使人脸检测系统在基于Xilinx的Virtex II Pro开发板上平台上,达到实时的检测效果。本文工作和成果可以具体描述如下: 1. 算法分析:对于人脸检测算法,首先确保的是检测率的准确性程度。本文所采用的是基于Paul Viola和Michael J.Jones提出的一种基于Adaboost算法的人脸检测方法。算法中较多的是积分图的特征值计算,这便于进一步的硬件设计。同时对检测算法进行耗时分析确定运行速度的瓶颈。 2. 软硬件功能划分:这一步考虑市场可以提供的资源状况,又要考虑系统成本、开发时间等诸多因素。Xilinx公司提供的Virtex II Pro开发板,在上面有可以供利用的Power PC处理器、可扩展的存储器、I/O接口、总线及数据通道等,通过分析可以对算法进行细致的划分,实现需要加速的模块。 3. 定点化:在Adaboost算法中,需要进行大量的浮点计算。这里采用的方法是直接对数据位进行操作它提取指数和尾数,然后对尾数执行移位操作。 4. 改进检测用的级联分类器的训练,提出可以迅速提高分类能力、特征数量大大减小的一种训练方法。 5. 最后对系统的整体进行了验证。实验表明,在视频输入输出接入的同时,人脸检测能够达到17fps的检测速度,并且获得了很好的检测率以及较低的误检率。

    标签: FPGA 人脸检测 系统设计

    上传时间: 2013-04-24

    上传用户:大融融rr

  • 基于FPGA的OFDM调制解调器的设计与实现

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-04-24

    上传用户:vaidya1bond007b1

  • 计算机组成实验平台的设计与实现

    《计算机组成原理》是计算机系的一门核心课程。但是它涉及的知识面非常广,内容包括中央处理器、指令系统、存储系统、总线和输入输出系统等方面,学生在学习该课程时,普遍觉得内容抽象难于理解。但借助于该计算机组成原理实验系统,学生通过实验环节,可以进一步融会贯通学习内容,掌握计算机各模块的工作原理,相互关系的来龙去脉。 为了增强实验系统的功能,提高系统的灵活性,降低实验成本,我们采用FPGA芯片技术来彻底更新现有的计算器组成原理实验平台。该技术可根据用户要求为芯片加载由VHDL语言所编写出的不同的硬件逻辑,FPGA芯片具有重复编程能力,使得系统内硬件的功能可以像软件一样被编程,这种称为“软”硬件的全新系统设计概念,使实验系统具有极强的灵活性和适应性。它不仅使该系统性能的改进和扩充变得十分简易和方便,而且使学生自己设计不同的实验变为可能。计算机组成原理实验的最终目的是让学生能够设计CPU,但首先,学生必须知道CPU的各个功能部件是如何工作,以及相互之间是如何配合构成CPU的。因此,我们必须先设计出一个教学用的以FPGA芯片为核心的硬件平台,然后在此基础上开发出VHDL部件库及主要逻辑功能,并设计出一套实验。 本文重点研究了基于FPGA芯片的VHDL硬件系统,由于VHDL的高标准化和硬件描述能力,现代CPU的主要功能如计算,存储,I/O操作等均可由VHDL来实现。同时设计实验内容,包括时序电路的组成及控制原理实验、八位运算器的组成及复合运算实验、存储器实验、数据通路实验、浮点运算器实验、多流水线处理器实验等,这些实验形成一个相互关联的系统。每个实验先由教师讲解原理及原理图,学生根据教师提供的原理图,自己用MAX+PLUSII完成电路输入,学生实验实际上是编写VHDL,不需要写得很复杂,只要能调用接口,然后将程序烧入平台,这样既不会让学生花太多的时间在画电路图上,又能让学生更好的理解每个部件的工作原理和工作过程。 论文首先研究分析了FPGA硬件实验平台,即实验系统的硬件组成。系统采用FPGA-XC4010EPC84,62256CPLD以及其他外围芯片(例如74LS244,74LS275)组成。根据不同的实验要求,规划不同实验控制逻辑。用户可选择不同的实验逻辑,通过把实验逻辑下载到FPGA芯片中构成自己的实验平台。 其次,论文详细的阐述了VHDL模块化设计,如何运用VHDL技术来依次实现CPU的各个功能部件。VHDL语言作为一种国际标准化的硬件描述语言,自1987年获得IEEE批准以来,经过了1993年和2001年两次修改,至今已被众多的国际知名电子设计自动化(EDA)工具研发商所采用,并随同EDA设计工具一起广泛地进入了数字系统设计与研发领域,目前已成为电子业界普遍接受的一种硬件设计技术。再次,论文针对实验平台中遇到的较为棘手的多流水线等问题,也进行了深入的阐述和剖析。学生需要什么样的实验条件,实验内容及步骤才能了解当今CPU所采用的核心技术,才能掌握CPU的设计,运行原理。另外,本论文的背景是需要学生熟悉基本的VHDL知识或技能,因为实验是在编写VHDL代码的前提下完成的。 本文在基于实验室的环境下,基本上较为完整的实现了一个基于FPGA的实验平台方案。在此基础上,进行了部分功能的测试和部分性能方面的分析。本论文的研究,为FPGA在实际系统中的应用提供研究思路和参考方案。论文的研究结果将对FPGA与VHDL标准的进一步发展具有重要的理论和现实意义。

    标签: 计算机组成 实验

    上传时间: 2013-04-24

    上传用户:小强mmmm

  • 基于FPGA的航电总线适配器设计

    本文内容来源于实际工程项目,属于FPGA技术在航空电子系统中的应用范畴。该项目的主要任务是通过设计—总线适配器将嵌入式航路控制器接入航电总线,使之成为航空电子系统的一部分。本文主要介绍航电总线适配器的设计,包括总线适配器接口协议分析、系统总体规划、主控制器的FPGA实现、硬件设计和软件设计等内容。 首先,本立在对项目背景、项目需求和总线适配器接口协议进行分析的基础上,规划了系统的总体结构。并且根据此系统结构制定了相应的转换协议,以规范数据传输。其次,根据系统设计要求选择主控制器和外围器件,并以此搭建硬件平台,完成系统硬件设计。本部分内容包括主控制器的FPGA实现分析以及系统硬件各功能模块如MIL-STD-1553B协议控制器模块、RS-422电平转换模块、FPGA配置模块和电源模块等的设计。最后介绍了系统的软件开发,此部分主要完成了软件的总体设计、功能模块的划分以及各功能模块的软件实现,包括BU-61580接口模块、异步串口模块和协议控制模块等的具体设计。

    标签: FPGA 总线 适配器

    上传时间: 2013-05-22

    上传用户:小强mmmm

  • 基于FPGA的指纹识别模块设计

    随着 EDA 技术及微电子技术的飞速发展,现场可编程门阵列(Field Programmable Gate Array,简称 FPGA)的性能有了大幅度的提高,FPGA的设计水平也达到了一个新的高度。基于FPGA的嵌入式系统设计为现代电子产品设计带来了更大的灵活性,以Nios Ⅱ软核处理器为核心的SOPC(System on Programmable Chip)系统便是把嵌入式系统应用在FPGA上的典型例子,本文设计的指纹识别模块就是基于FPGA的Nios Ⅱ处理器为核心的SOPC设计。通过IP核技术和灵活的软硬件编程,实现Nios Ⅱ对FPGA外围器件的控制,并对指纹处理算法进行了改进,研究了指纹识别算法到Nios Ⅱ系统的移植。 本文首先阐述了指纹识别模块的SOPC设计方案,然后是对模块的详细设计。在硬件方面,完成了指纹识别模块的 FPGA 硬件设计,包括 FPGA 内部的Nios Ⅱ系统硬件设计和 FPGA 外围电路设计。前者利用 SOPC Builder将Nios Ⅱ处理器、指纹读取接口 UART、键盘与LCD显示接口、FLASH接口、SDRAM控制器构建成NiosⅡ硬件系统,后者是电源和时钟电路、SDRAM存储器电路、FLASH存储器电路、LCD显示电路、指纹传感器电路、FPGA 配置电路这些纯实物硬件设计,给出了设计方法和电路连接图。 在软件方面,包括下面两个内容: 完成 FPGA 外围器件程序设计,实现对外围器件的操作。 深入的研究了指纹识别算法。对指纹图像识别算法中的指纹图像滤波和匹配算法进行了分析,提出了指纹图像增强改进算法和匹配改进算法,通过试验,改进后的指纹图像滤波算法取得了较好的指纹图像增强效果。改进后的匹配算法速度较快,误识率较低。最后研究了指纹识别算法如何在FPGA中的Nios Ⅱ系统的实现。

    标签: FPGA 指纹识别 模块设计

    上传时间: 2013-06-12

    上传用户:yx007699