虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

基于双核

  • 基于FPGA的PCI软核模块的研究与实现.rar

    本课题是在课题组已实现的高速串行通信平台的基础上,进一步引伸,设计开源的PCI软核通信模块替代Xilinx公司提供的LogiCORE PCI核,力求在从模式下,做到占用资源更少,传输速度更快,也为以后实现更完整的功能提供平台。 本文以此为背景,基于FPGA平台,搭建以开源的PCI软核为核心的串行通信接口平台,使其成为PCI总线与用户逻辑之间的桥梁,使用户逻辑避开与复杂的PCI总线协议。本课题采用Spartan-II FPGA芯片XC2S200-6FG456C系统开发板作为串行通信接口的硬件实验平台,实现了支持配置读/写交易、单数据段读/写、突发模式读/写、命令/地址译码功能和数据传送错误检测与处理功能的PCI软核。 本文主要阐述了以PCI软核为核心的串行通信平台的实现,首先介绍了PCI软核的编程语言、软件工具和硬件实验平台Spartan-II FPGA芯片XC2S200-6FG456C系统开发板。然后,介绍了PCI总线命令、PCI软核所支持的功能、PCI软核两侧信号的定义、PCI软核配置模块以及探讨了PCI软核的状态机接收、发送数据等过程,分析了PCI软核的数据收发功能仿真,主要包括配置读/写交易、单数据段模式读/写和突发模式读/写的仿真图形,并阐述了管脚约束的操作流程。最后介绍PCI软核模块的WDM驱动,内容包括驱动程序简介、驱动程序的开发、中断处理、驱动程序与应用程序之间的通信以及应用程序操作。最后,对PCI软核的各种性能进行了比较分析。整个模块设计紧凑,完成在实验平台上的数据发送。 设计选用硬件描述语言VerilogHDL,在开发工具Xilinx ISE7.1中完成整个系统的设计、综合、布局布线,利用Modelsim进行功能及时序仿真,使用DriverWorks为PCI软核编写WinXP下的驱动程序,用VC++6.0编写相应的测试应用程序。之后,将FPGA设计下载到Spanan-II FPGA芯片XC2S200-6FG456C系统开发板中运行。 文章最后指出工作中的不足之处和需要进一步完善的地方。

    标签: FPGA PCI 软核

    上传时间: 2013-04-24

    上传用户:sc965382896

  • 基于FPGA的GPIB控制器的IP核设计.rar

    当前,片上系统(SOC)已成为系统实现的主流技术。流片风险与费用增加、上市时间压力加大、产品功能愈加复杂等因素使得SOC产业逐渐划分为IP提供者、SOC设计服务者和芯片集成者三个层次。SOC设计已走向基于IP集成的平台设计阶段,经过严格验证质量可靠的IP核成为SOC产业中的重要一环。 GPIB控制器芯片是组建自动测试系统的核心,在测试领域应用广泛。本人通过查阅大量的技术资料,分析了集成电路在国内外发展的最新动态,提出了基于FPGA的自主知识产权的GPIB控制器IP核的设计和实现。 本文首先讨论了基于FPGA的GPIB控制器的背景意义,接着对FPGA开发所具备的基本知识作了简要介绍。文中对GPIB总线进行了简单的描述,根据芯片设计的主要思想,重点在于论述怎样用FPGA来实现IEEE-488.2协议,并详细阐述了GPIB控制器的十种接口功能及其状态机的IP核实现。同时,对数据通路也进行了较为细致的说明。在设计的时候采用基于模块化设计思想,用VerilogHDL语言完成各模块功能描述,通过Synplifv软件的综合,用Modelsim对设计进行了前、后仿真。最后利用生成的模块符号采取类似画电路图的方法完成整个系统芯片的lP软核设计,并用EDA工具下载到了FPGA上。 为了更好地验证设计思想,借助EDA工具对GPIB控制器的工作状态进行了软件仿真,给出仿真结果,仿真波形验证了GPIB控制器的工作符合预想。最后,本文对基于FPGA的GPIB控制器的IP核设计过程进行了总结,展望了当前GPIB控制器设计的发展趋势,指出了开展进一步研究需要做的工作。

    标签: FPGA GPIB 控制器

    上传时间: 2013-06-12

    上传用户:mqien

  • 基于FPGA的10M100M以太网控制器的设计.rar

    随着以太网技术的不断发展,网络的传输速度已经由最初的10M发展到现在的10,000M。用可编程逻辑器件(FPGA)实现以太网控制器与其它SOC系统的互连成为当前的研究热点。本文阐述了MAC层的FPGA设计、仿真及测试;介绍了整个系统的内部结构、模块划分,并对各个模块的设计过程进行了详细阐述,接着介绍了开发环境和验证工具,同时给出测试方案、验证数据、实现结果及时序仿真波形图。 对MAC层的主要功能模块如:发送模块、接收模块、MAC流程控制模块、寄存器模块、MⅡ接口模块和主机接口模块以及CRC,CSMA/CD,HASH表等算法给出了基于FPGA及硬件描述语言的解决方法。 本课题针对以下三个方面进行了研究并取得一定的成果: 1)FPGA开发平台的硬件实现。选用Xilinx公司的XC3S1000-FT256-4-C和ATMEL公司的ARM9200作为测试的核心器件,采用LXT971芯片作为物理层芯片,AT91RM9200作为数据输入源和双blockram作为帧缓存搭建FPGA硬件验证开发平台。 2)基于FPGA实现以太网控制器。用VerilogHDL语言构建以太网控制器,实现CSMA/CD协议、10M/100M自适应以及与物理层MⅡ接口等。 3)采用片上系统通用的WS接口。目的是便于与具有通用接口的片上系统互连,也为构建SOC上处理器提供条件。 本论文实现了一个基于WS总线接口可裁减的以太网MAC控制器IP软核,为设计具有自主知识产权的以太网MAC控制器积累了经验。同时,为与其它WS接口的控制器实现直接互连创造了条件,对高层次设计这一先进ASIC设计方法也有了较为深入的认识。

    标签: 10M100M FPGA 以太网控制器

    上传时间: 2013-07-17

    上传用户:bruce

  • 基于FPGA的DDS双通道波形发生器.rar

    直接数字频率合成(DDS)是七十年代初提出的一种新的频率合成技术,其数字结构满足了现代电子系统的许多要求,因而得到了迅速的发展。现场可编程门阵列器件(FPGA)的出现,改变了现代电子数字系统的设计方法,提供了一种全新的设计模式。本论文结合这两项技术,并利用单片机控制灵活的特点,开发了一种双通道波形发生器。在实现过程中,选用了Altera公司的EP1C6Q240C8芯片作为产生波形数据的主芯片,充分利用了该芯片的超大集成性和快速性。在控制芯片上选用ATMAL的AT89C51单片机作为控制芯片。本设计中,FPGA芯片的设计和与控制芯片的接口设计是一个难点,本文利用Altera的设计工具Quartus Ⅱ并结合Verilog-HDL语言,采用硬件编程的方法很好地解决了这一问题。 本文首先介绍了波形发生器的研究背景和DDS的理论。然后详尽地叙述了用EP1C6Q240C8完成DDS模块的设计过程,这是设计的基础。接着分析了整个设计中应处理的问题,根据设计原理就功能上进行了划分,将整个仪器功能划分为控制模块、外围硬件、FPGA器件三个部分来实现。然后就这三个部分分别详细地进行了阐述。并且通过系列实验,详细地分析了该波形发生器的功能、性能、实现和实验结果。最后,结合在设计中的一些心得体会,提出了本设计中的一些不足和改进意见。通过实验说明,本设计达到了预定的要求,并证明了采用软硬件结合,利用FPGA实现基于DDS架构的双路波形发生器是可行的。

    标签: FPGA DDS 双通道

    上传时间: 2013-06-09

    上传用户:wxhwjf

  • 基于FPGA的多通道DMA控制器的IP核设计.rar

    当前,随着电子技术的飞速发展,智能化系统中需要传输的数据量日益增大,要求数据传送的速度也越来越快,传统的数据传输方式已无法满足目前的要求。在此前提下,采用高速数据传输技术成为必然,DMA(直接存储器访问)技术就是较理想的解决方案之一,能够满足信息处理实时性和准确性的要求。 本文以EDA工具、硬件描述语言和可编程逻辑器件(FPGA)为技术支撑,设计DMA控制器的总体结构。在通道检测模块中,解决了信号抗干扰和请求信号撤销问题,并提出并行通道检测算法;在优先级管理模块中提出了动态优先级端口响应机制;在传输模块中采用状态机的设计思想设计多个通道的数据传输。通过各模块问题的解决及新方法的采用,最终设计出基于FPGA的多通道DMA控制器的IP软核。实验仿真结果表明,本控制器传输速度较快,主频达100MHz以上,且工作稳定。

    标签: FPGA DMA 多通道

    上传时间: 2013-05-16

    上传用户:希酱大魔王

  • 基于FPGA的8051单片机IP核设计及应用.rar

    单片微型计算机(单片机)是将微处理器CPU、程序存储器、数据存储器、定时/计数器、输入/输出并行接口等集成在一起。由于单片机具有专门为嵌入式系统设计的体系结构与指令系统,所以它最能满足嵌入式系统的应用要求。Intel公司生产的MCS-51系列单片机是我国目前应用最广的单片机之一。 随着可编程逻辑器件设计技术的发展,每个逻辑器件中门电路的数量越来越多,一个逻辑器件就可以完成本来要由很多分立逻辑器件和存储芯片完成的功能。这样做减少了系统的功耗和成本,提高了性能和可靠性。FPGA就是目前最受欢迎的可编程逻辑器件之一。IP核是将一些在数字电路中常用但比较复杂的功能块,设计成可修改参数的模块,让其他用户可以直接调用这些模块,这样就大大减轻了工程师的负担,避免重复劳动。随着FPGA的规模越来越大,设计越来越复杂,使用IP核是一个发展趋势。 本课题结合FPGA与8051单片机的优点,主要针对以下三个方面研究: (1)FPGA开发平台的硬件实现选用Xilinx公司的XC3S500E-PQ208-4-C作为核心器件,采用Intel公司的EEPROM芯片2816A和SRAM芯片6116作为片内程序存储器,搭建FPGA的硬件开发平台。 (2)用VHDL语言实现8051IP核分析研究8051系列单片机内部各模块结构以及各部分的连接关系,实现了基于FPGA的8051IP核。主要包括如下几个模块:CPU模块、片内数据存储器模块、定时/计数器模块、并行端口模块、串行端口模块、中断处理模块、同步复位模块等。 (3)基于FPGA的8051IP核应用用所设计的8051IP核,实现了对一个4×4键盘的监测扫描、键盘确认、按键识别等应用。

    标签: FPGA 8051 单片机

    上传时间: 2013-06-21

    上传用户:stampede

  • 基于H264的网络视频监控的FPGA实现研究.rar

    随着科学技术的发展与公共安全保障需求的提高,视频监控系统在工业生产、日常生活、警备与军事方面的应用越来越广泛。采用基于 FPGA 的SOPC技术、H.264压缩编码技术和网络传输控制技术实现网络视频监控系统,在稳定性、功能、成本与扩展性等方面都有着突出的优势,具有重要的学术意义与实用意义, 本课题所设计的网络视频监控系统由以Nios Ⅱ为核心的嵌入式图像服务器、相关网络设备与若干PC机客户端组成。嵌入式图像服务器实时采集图像,采用H.264 编码算法进行压缩,并持续监听网络。PC机客户端可通过网络对服务器进行远程访问,接收编码数据,使用H.264解码算法重建图像并实时显示,使监控人员有效地掌握现场情况, 在嵌入式图像服务器设计阶段,本文首先进行了芯片选型与开发平台选择。然后构建图像采集子系统,采用双缓存乒乓交换的方法设计图像采集用户自定义模块。接着设计双Nios Ⅱ架构的SOPC系统,阐述了双软核设计中定制连接、内存芯片共享、数据搬移、通信与互斥的解决方法。同时完成了网络服务器的设计,采用μC/OS-Ⅱ进行多任务的管理与调度, H.264视频压缩编解码算法设计与实现是本文的重点。文中首先分析H.264.标准,规划编解码器结构。接着设计了16×16帧内预测算法,并设计宏块扫描方式,采用两次判决策略进行预测模式选择。然后设计4×4子块扫描方式,编写整数变换与量化算法程序。熵编码采用Exp-Golomb编码与CAVLC相结合的方案,针对除拖尾系数之外的非零系数值编码子算法,实现了一种基于表示范围判别的编码方法。最后设计了网络传输的码流组成格式,并针对编码算法设计相应解码算法。使用VC++完成算法验证,并进行测试,观察不同参数下压缩率与失真度的变化。 算法验证完成后,本文进行了PC机客户端设计,使其具有远程访问、H.264解码与实时显示的功能。同时将H.264 编码算法程序移植到NiosⅡ中,并将嵌入式图像服务器与若干客户端接入网络进行联合调试,构建完整的网络视频监控系统, 实验结果表明,本系统视频压缩率高,监控图像质量良好,充分证明了系统软硬件与图像编解码算法设计成功。本系统具有成本低、扩展性好及适用范围广等优点,发展前景十分广阔。

    标签: H264 FPGA 网络视频监控

    上传时间: 2013-04-24

    上传用户:wang0123456789

  • 基于CPLD/FPGA的IP核设计

    本文介绍了一个基于CPLD/FPGA的嵌入式IP核设计。论文在阐述可编程逻辑器件及其发展趋势的基础上,探讨了知识产权复用理念,MCU的复杂化设计以及数字信号传输与处理的速度要求。结合国内外对CPLD/FPGA的使用现状,引出了在CPLD/FPGA上开发嵌入式模块程序的理念并提出了设计实现方法和设计实例。课题的设计目标为开发一个基于CPLD/FPGA的USBIP模块,实现开发板与PC机之间的USB通信。设计过程首先进行硬件设计,在FPGA开发板上开发扩展板;其次用ISE开发软件进行FPGA数字化设计;在软件开发完成后,将配置生成的比特流文件通过JTAG电缆下载到FPGA开发板上,实现FPGA开发板与PC机之间的通信。 该设计具有很高的实用性,它进一步扩大了可编程芯片的领地,将复杂专有芯片挤向高端和超复杂应用;它使得IP资源复用理念得到更普遍的应用;为基于FPGA的嵌入式系统设计提供了广阔的思路。

    标签: CPLD FPGA IP核

    上传时间: 2013-07-05

    上传用户:隐界最新

  • 基于ARMDSP的双足机器人导航控制系统的研究

    双足机器人是一个多自由度、多变量、非线性的复杂动力学系统。其控制平台的研究往往涉及嵌入式技术、传感器技术、步态规划、路径导航、人工智能、自动化控制等多种理论与技术,体现了信息科学和人工智能技术的最新成果,应用领域广大,具有重要的研究价值。其中,双足机器人导航控制系统是双足机器人控制平台研究中的重点和难点,将在自动驾驶、未知区域的探索、危险环境作业、核电站的维护等领域中发挥极大的作用。 本文以双足机器人导航控制系统的设计为研究背景,结合嵌入式系统开发的关键技术,主要论述了两个核心内容:一是双足机器人导航决策系统的设计。该系统是基于一种新式的ARM&DSP主从控制模式下的设计。该设计借助内外传感器系统的反馈,通过对多传感器信息的融合与处理,在导航决策算法的作用下,实现双足机器人在未知环境下平滑的自主导航。二是为增强双足机器人导航的人机交互性和控制系统对突发事件的处理能力,在基于MiniGUI的系统平台上设计了双足机器人的导航控制系统界面。论文的主要内容包括: 首先,设计了双足机器人的本体模型,并对双足机器人的步态规划做了理论研究,为步态控制获得理论上的支持。 然后,就双足机器人导航控制平台的搭建做了详细的介绍,并着重对主从控制器间通讯的CAN接口做了详细的设计。 接着,从两个层面设计了导航决策系统,一是根据内部传感器得到的关节信息,比对决策层中的步态规划算法,对关节的运动进行实时的补偿和调整,实现各关节动作的协调,得到标准的步态,保证每一步的稳定和准确。二是对外部传感器获得的外界环境信息进行处理,构建出供决策层使用的外部环境模型,之后在基于模糊神经网络的导航算法的指引下,实现双足机器人对外界环境做出合理、平滑的响应。 最后,介绍了导航控制界面的设计与实现。重点介绍了MiniGUI开发平台的搭建、基于MiniGUI的界面程序的设计以及程序在开发板上的移植,实现了控制界面在双足机器人导航上的应用。

    标签: ARMDSP 双足机器人 导航控制系统

    上传时间: 2013-04-24

    上传用户:527098476

  • 基于DSP的无刷直流电动机双模控制及转矩波动研究

    永磁无刷直流电动机是一种性能优越、应用前景广阔的电动机,传统的理论分析及设计方法已比较成熟,它的进一步推广应用,在很大程度上有赖于对控制策略的研究.该文提出了一套基于DSP的全数字无刷直流电动机模糊神经网络双模控制系统,将模糊控制和神经网络分别引入到无刷直流电动机的控制中来.充分利用模糊控制对参数变化不敏感,能够提高系统的快速性的特点,构造适用于调节较大速度偏差的模糊调节器,加快系统的调节速度;由于神经网络既具有非线性映射的能力,可逼近任何线性和非线性模型,又具有自学习、自收敛性,对被控对象无须精确建模,对参数变化有较强的鲁棒性的特点,构造三层BP神经网络调节器,来实现消除稳态偏差的精确控制.以速度偏差率为判断依据,实现模糊和神经网络两种控制模式的切换,使系统在不同速度偏差段快速调整、平滑运行.此外充分利用系统硬件构成的特点,采用适当的PWM输出切换策略,最大限度的抑制逆变桥换相死区;通过换相瞬时转矩公式推导和分析,得出在换相过程中保持导通相功率器件为恒通,即令PWM输出占空比D=1,来抑制定子电感对换相电流影响的控制策略.上述抑制换相死区和采用恒通电压的控制方法,减小了换相引起的转矩波动,使系统电流保持平滑、转矩脉动大幅度减小、系统响应更快、并具有较强的鲁棒性和实时性.在这种设计下,系统不仅能实现更精确的定位和更准确的速度调节,而且可以使无刷直流电动机长期工作在低速、大转矩、频繁起动的状态下.该文选用TMS320LF2407作为微控制器,将系统的参数自调整模糊控制算法,BP神经网络控制算法以及PWM输出,转子位置、速度、相电流检测计算等功能模块编程存储于DSP的E2PROM,实现了对无刷直流电动机的全数字实时控制,并得到了良好的实验结果的结果.

    标签: DSP 无刷直流电动机 双模控制 转矩

    上传时间: 2013-06-01

    上传用户:zl123!@#