虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

可重构结构

  • 基于FPGA嵌入式指纹识别系统研究

    随着科学技术的发展,指纹识别技术被广泛应用到各种不同的领域。对于一般的指纹识别系统,其设计要求具有很高的实时性和易用性,因此识别算法应该具有较低的复杂度,较快的运算速度,从而满足实时性的要求。所以有必要根据不同的识别算法采用不同的实现平台,使得指纹识别系统具有较高的可靠性、实时性、有效性等性能要求。 SOPC片上可编程系统和嵌入式系统是当前电子设计领域中最热门的概念。NiosⅡ是Altera.公司开发的一种采用流水线技术、单指令流的RISC嵌入式处理器软核,可以将它嵌入到FPGA内部,与用户自定义逻辑组建成一个基于FPGA的片上专用系统。 本文在综合考虑各种应用情况的基础上,以网络技术、数据库技术、指纹识别技术和嵌入式系统技术为理论基础,提出了一种有效可行的系统架构方案。对指纹识别技术中各个环节的算法和原理进行了深入研究,合理的改进了部分指纹识别算法;同时为了提高系统的实时性,采用NiosⅡ嵌入式处理器和FPGA硬件模块实现指纹图像处理主要算法。论文主要包括以下几个方面: 1、对指纹图像预处理、特征提取和特征匹配算法原理进行阐述,同时改进了指纹图像的细化算法,提高了算法的性能,并设计了一套实用的指纹特征数据结构; 2、针对指纹图像预处理模块,包括图像的归一化、频率提取、方向提取以及方向滤波,采用基于FPGA的硬件电路的方式实现。实验结果表明,在保证系统误识率较低、可靠性高的基础上,大大提高了系统的执行速度; 3、改变了传统的单枚指纹识别方法,提出采用多枚指纹唯一标识身份,大大降低了识别系统的误识率; 4、改进了传统的基于三角形匹配中获取基准点的方法,同时结合可变界限盒思想进行指纹特征匹配。 5、结合COM+技术、数据库技术和网络技术,开发了后台指纹特征匹配服务系统,实现了嵌入式指纹识别系统同数据库的实时信息交换。 实验结果表明,本文所提出的系统构架方案有效可行,基于FPGA的自动指纹识别系统在速度、功耗、扩展性等方面具有独特的优势,拥有广阔的发展前景。

    标签: FPGA 嵌入式 指纹识别 系统研究

    上传时间: 2013-04-24

    上传用户:15528028198

  • 基于NiosⅡ的FPGACPU调试技术研究

    本文研究了基于Nios Ⅱ的FPGA-CPU调试技术。论文研究了NiosⅡ嵌入式软核处理器的特性;实现了以Nios Ⅱ嵌入式处理器为核心的FPGA-CPU调试系统的软、硬件设计;对两种不同类型的FPGA-CPU进行了实际调试,对实验数据进行了分析。 在硬件方面,为了控制和检测FPGA-CPU,设计并实现了FPGA-CPU的控制电路、FPGA-CPU的内部通用寄存器组扫描电路、存储器电路等;完成了各种外围设备接口的设计;实现了调试系统的整体设计。 在软件方面,设计了调试监控软件,完成了对FPGA-CPU运行的控制和信号状态的监测。这些信号包括地址和数据总线以及各种寄存器的数据等;实现了多种模式下的FPGA-CPU调试支持单时钟调试、单步调试和软件断点多种调试模式。此外,设计了专用的编译软件,实现了基于不同指令系统的伪汇编程序编译,提高了调试效率。 本文作者在实现了FPGA-CPU调试系统基础上,对两种指令系统不同、结构迥异的FPGA-CPU进行实际调试。调试结果表明,这种基于IP核的可复用设计技术,能够在一个FPGA芯片内实现调试系统和FPGA-CPU的无缝连接,能够有效地调试FPGA-CPU。

    标签: FPGACPU Nios 调试 技术研究

    上传时间: 2013-05-19

    上传用户:xinyuzhiqiwuwu

  • 语音信号特征参数的提取

    随着语音技术应用的发展,语音信号数字处理的实时性要求越来越突出。这就要求在系统设计中,对系统的硬件环境要求更高。随着语音处理算法的日益复杂,用普通处理器对语音信号进行实时处理,已经不能满足需要。专用语音信号处理芯片能解决实时性的要求,同时对器件的资源要求也是最低的。 论文利用Altera公司的新一代可编程逻辑器件在数字信号处理领域的优势,对语音信号的常用参数—LPC(线性预测编码,Linear Predictive Coding)参数提取的FPGA(现场可编程门阵列,Field Programmable Gate Array)实现进行了深入研究。论文首先对语音的离散数学模型和短时平稳特性进行了分析,深入讨论了语音线性预测技术。第二,对解线性预测方程组的自相关法和协方差斜格法进行了比较,提出了一种基于协方差斜格法的LPC参数提取系统的总体设计方案。第三,对Altera公司的Cyclon系列可编程器件的内部结构进行了研究,分析了在QuartusⅡ开发平台上进行FPGA设计的流程。第四,对系统的各个功能模块进行了设计,所有算法通过Verilog硬件描述语言实现,并对其工作过程进行了详细的分析。最后,在Altera FPGA目标芯片EP1C6Q240C8上,对LPC参数提取系统进行了仿真验证。 系统具有灵活的输入输出接口,能方便地同其它语音处理模块相连,构成一个完整的语音处理专用芯片,可以应用于语音编解码、语音识别等系统。

    标签: 语音信号 特征 参数

    上传时间: 2013-04-24

    上传用户:TI初学者

  • 几种用于FPGA的新型有效混合布线算法

    采用现场可编程门阵列(FPGA)可以快速实现数字电路,但是用于生成FPGA编程的比特流文件的CAD工具在编制大规模电路时常常需要数小时的时间,以至于许多设计者甚至通过在给定FPGA上采用更多的资源,或者以牺牲电路速度为代价来提高编制速度。电路编制过程中大部分时间花费在布线阶段,因此有效的布线算法能极大地减少布线时间。 许多布线算法已经被开发并获得应用,其中布尔可满足性(SAT)布线算法及几何查找布线算法是当前最为流行的两种。然而它们各有缺点:基于SAT的布线算法在可扩展性上有很大缺陷;几何查找布线算法虽然具有广泛的拆线重布线能力,但当实际问题具有严格的布线约束条件时,它在布线方案的收敛方面存在很大困难。基于此,本文致力于探索一种能有效解决以上问题的新型算法,具体研究工作和结果可归纳如下。 1、在全面调查FPGA结构的最新研究动态的基础上,确定了一种FPGA布线结构模型,即一个基于SRAM的对称阵列(岛状)FPGA结构作为研究对象,该模型仅需3个适合的参数即能表示布线结构。为使所有布线算法可在相同平台上运行,选择了美国北卡罗来纳州微电子中心的20个大规模电路作为基准,并在布线前采用VPR399对每个电路都生成30个布局,从而使所有的布线算法都能够直接在这些预制电路上运行。 2、详细研究了四种几何查找布线算法,即一种基本迷宫布线算法Lee,一种基于协商的性能驱动的布线算法PathFinder,一种快速的时延驱动的布线算法VPR430和一种协商A

    标签: FPGA 布线算法

    上传时间: 2013-05-18

    上传用户:ukuk

  • 快速傅立叶变换(FFT)的FPGA实现

    随着数字电子技术的发展,数字信号处理的理论和技术广泛的应用于通讯、语音处理、计算机和多媒体等领域。快速傅立叶变换(FFT)使离散傅立叶变换的运算时间缩短了几个数量级,在数字信号处理领域被广泛应用。FFT已经成为现代信号处理的重要手段之一。 现场可编程门阵列(FPGA)是近年来迅速发展起来的新型可编程器件。随着它的不断应用和发展,也使电子设计的规模和集成度不断提高。同时基于FPGA实现FFT的设计方法和思想被提出。本次设计的目的是快速傅立叶变换(FFT)的FPGA实现。 此文在分析了快速傅立叶算法的基础上,提出了一种频率抽取基4 FFT的FPGA设计方案,针对现有FFT的FPGA实现过程中蝶形运算需要频繁乘以多个旋转因子提出了改进方法,减少了旋转因子的乘法次数和存储空间,加快了蝶形运算的速度,设计的地址映射方法,无需运算即可得到所需数据的存放地址,并结合采用乒乓结构和流水线方式,来提高快速傅立叶变换(FFT)FPGA实现的速度。描述了一片FPGA芯片内完成了整个FFT处理器的电路设计,经过模块时序仿真和数据的验证及测试,达到工作在50MHz时钟频率的设计要求。最后对后续设计做了描述,并对用FPGA实现FFT做了展望。

    标签: FPGA FFT 傅立叶变换

    上传时间: 2013-04-24

    上传用户:ykykpb

  • 软件无线电中数字下变频技术研究

    软件无线电(SDR,Software Defined Radio)由于具备传统无线电技术无可比拟的优越性,已成为业界公认的现代无线电通信技术的发展方向。理想的软件无线电系统强调体系结构的开放性和可编程性,减少灵活性著的硬件电路,把数字化处理(ADC和DAC)尽可能靠近天线,通过软件的更新改变硬件的配置、结构和功能。目前,直接对射频(RF)进行采样的技术尚未实现普及的产品化,而用数字变频器在中频进行数字化是普遍采用的方法,其主要思想是,数字混频器用离散化的单频本振信号与输入采样信号在乘法器中相乘,再经插值或抽取滤波,其结果是,输入信号频谱搬移到所需频带,数据速率也相应改变,以供后续模块做进一步处理。数字变频器在发射设备和接收设备中分别称为数字上变频器(DUC,Digital Upper Converter)和数字下变频器(DDC,Digital Down Converter),它们是软件无线电通信设备的关键部什。大规模可编程逻辑器件的应用为现代通信系统的设计带来极大的灵活性。基于FPGA的数字变频器设计是深受广大设计人员欢迎的设计手段。本文的重点研究是数字下变频器(DDC),然而将它与数字上变频器(DUC)完全割裂后进行研究显然是不妥的,因此,本文对数字上变频器也作适当介绍。 第一章简要阐述了软件无线电及数字下变频的基本概念,介绍了研究背景及所完成的主要研究工作。 第二章介绍了数控振荡器(NCO),介绍了两种实现方法,即基于查找表和基于CORDIC算法的实现。对CORDIc算法作了重点介绍,给出了传统算法和改进算法,并对基于传统CORDIC算法的NCO的FPGA实现进行了EDA仿真。 第三章介绍了变速率采样技术,重点介绍了软件无线电中广泛采用的级联积分梳状滤波器 (cascaded integratot comb, CIC)和ISOP(Interpolated Second Order Polynomial)补偿法,对前者进行了基于Matlab的理论仿真和FPGA实现的EDA仿真,后者只进行了基于Matlab的理论仿真。 第四章介绍了分布式算法和软件无线电中广泛采用的半带(half-band,HB)滤波器,对基于分布式算法的半带滤波器的FPGA实现进行了EDA仿真,最后简要介绍了FIR的多相结构。 第五章对数字下变频器系统进行了噪声综合分析,给出了一个噪声模型。 第六章介绍了数字下变频器在短波电台中频数字化应用中的一个实例,给出了测试结果,重点介绍了下变频器的:FPGA实现,其对应的VHDL程序收录在本文最后的附录中,希望对从事该领域设计的技术人员具有一定参考价值。

    标签: 软件无线电 数字下变频 技术研究

    上传时间: 2013-06-09

    上传用户:szchen2006

  • 深度包过滤技术研究及FPGA实现

    未来战争将以信息化战场为支撑,以信息化武器装备为主导,以信息化作战为主要方式,信息安全是实施信息防御、夺取制信息权、获取信息优势的关键要素,其建设与发展面临新的挑战和日益广泛的应用需求。 信息安全装备是适应新时期军事通信建设的需求、保证军事信息安全、军队指挥系统顺畅的重要方面,深度包过滤技术是我军信息安全领域的重要技术之一。进行深度包过滤技术的研究与实现具有非常重要的意义。 本文所做的工作主要有以下几个方面: 1、提出了一种效率更高的字符串搜索算法OBM; 2、设计了过滤策略; 3、设计了各过滤规则/特征码的数据结构及整体数据结构; 4、在FPGA中设计实现了QBM算法; 5、基于FPGA+FLASH结构,设计了深度包过滤器整体方案,设计实现了一款既有访问控制能力又有内容过滤特点,高效、可配置、能反馈的内容过滤器; 6、对所完成的设计进行了仿真,并给出了性能评估。

    标签: FPGA 过滤技术

    上传时间: 2013-05-29

    上传用户:夜月十二桥

  • 纹理映射算法研究与FPGA实现

    纹理映射在计算机图形计算中属于光栅化阶段,处理的是像素,主要的特点是数据的吞吐量大,对实时系统来说转换的速度是一个关键的因素,人们寻求各种加速算法来提高运算速度。传统的方法是用更快的处理器,并行算法或专用硬件。随着数字技术的发展,尤其是可编程逻辑门阵列(FPGAs)的发展,提供了一种新的加速方法。FPGAs在密度和性能上都有突破性的发展,当前的FPGA芯片已经能够运算各种图形算法,而在速度上与专用的图形卡硬件相同。因此,FPGA芯片非常适合这项工作。 本文主要工作包括以下几个方面: 1、本文提出了一种MIPmapping纹理映射优化方法,改进了MIPmapping映射细化层次算法及纹理图像的存储方式,减少纹理寻址的计算量,提高纹理存储的相关性。详细内容请阅读第三章。 2、提出了一种MIPmapping纹理映射优化方法的硬件实现方案,该方案针对移动设备对功耗和面积的要求,以及分辨率不高的特点,在参数空间到纹理地址的计算中用定点数来实现。详细内容请阅读第四章。 3、实现了纹理映射流水线单元纹理地址产生电路,及纹理滤波电路的FPGA设计,并给出设计的综合和仿真结果。详细内容请阅读第五章4、实现了符合IEEE 754单精度标准的乘法、乘累加及除法运算器电路。乘法器采用改进型Booth编码电路以减少部分积数量,用Wallace对部分积进行压缩;乘累加器采用multiply-add fused算法,对关键路径进行了优化;除法器为基于改进型泰勒级数展开的查找表结构实现,查找表尺寸只有208字节,电路为固定时延,在电路尺寸、延时及复杂度方面进行了较好的平衡。

    标签: FPGA 映射 算法研究

    上传时间: 2013-04-24

    上传用户:yxvideo

  • 应用于十万门FPGA的全数字锁相环设计

    在过去的十几年间,FPGA取得了惊人的发展:集成度已达到1000万等效门、速度可达到400~500MHz。随着FPGA的集成度不断增大,在高密度FPGA中,芯片上时钟的分布质量就变得越来越重要。时钟延时和时钟相位偏移已成为影响系统性能的重要因素。现在,解决时钟延时问题主要使用时钟延时补偿电路。 为了消除FPGA芯片内的时钟延时,减小时钟偏差,本文设计了内置于FPGA芯片中的延迟锁相环,采用一种全数字的电路结构,将传统DLL中的用模拟方式实现的环路滤波器和压控延迟链改进为数字方式实现的时钟延迟测量电路,和延时补偿调整电路,配合特定的控制逻辑电路,完成时钟延时补偿。在输入时钟频率不变的情况下,只需一次调节过程即可完成输入输出时钟的同步,锁定时间较短,噪声不会积累,抗干扰性好。 在Smic0.18um工艺下,设计出的时钟延时补偿电路工作频率范围从25MHz到300MHz,最大抖动时间为35ps,锁定时间为13个输入时钟周期。另外,完成了时钟相移电路的设计,实现可编程相移,为用户提供与输入时钟同频的相位差为90度,180度,270度的相移时钟;时钟占空比调节电路的设计,实现可编程占空比,可以提供占空比为50/50的时钟信号;时钟分频电路的设计,实现频率分频,提供1.5,2,2.5,3,4,5,8,16分频时钟。

    标签: FPGA 应用于 全数字 锁相环

    上传时间: 2013-07-06

    上传用户:LouieWu

  • 基于FPGA的无线信道仿真器设计与实现

    随着人们对无线通信需求和质量的要求越来越高,无线通信设备的研发也变得越来越复杂,系统测试在整个设备研发过程中所占的比重也越来越大。为了能够尽快缩短研发周期,测试人员需要在实验室模拟出无线信道的各种传播特性,以便对所设计的系统进行调试与测试。无线信道仿真器是进行无线通信系统硬件调试与测试不可或缺的仪器之一。 本文设计的无线信道仿真器是以Clarke信道模型为参考,采用基于Jakes模型的改进算法,使用Altera公司的StratixⅡ EP2S180模拟实现了频率选择性衰落信道。信道仿真器实现了四根天线数据的上行接收,每根天线由八条可分辨路径,每条可分辨路径由64个反射体构成,每根天线可分辨路径和反射体的数目可以独立配置。通过对每个反射体初始角度和初始相位的设置,并且保证反射体的角度和相位是均匀分布的随机数,可以使得同一条路径不同反射体之间的非相关特性,得到的多径传播信道是一个离散的广义平稳非相关散射模型(WSSUS)。无线信道仿真器模拟了上行数据传输环境,上行数据由后台产生后储存在单板上的SDRAM中。启动测试之后,上行数据在CPU的控制下通过信道仿真器,然后送达基带处理板解调,最后测试数据的误码率和误块率,从而分析基站的上行接收性能。 首先,本文研究了3GPP TS 25.141协议中对通信设备测试的要求和无线信道自身的特点,完成了对无线信道仿真器系统设计方案的吸收和修改。 其次,针对FPGA内部资源结构,研究了信道仿真器FPGA实现过程中的困难和资源的消耗,进行了模块划分。主要完成了时延模块、瑞利衰落模块、背板接口模块等的RTL级代码的开发、仿真、综合和板上调试;完成了FPGA和后台软件的联合调试;完成了两天线到四天线的改版工作,使FPGA内部的工作频率翻了一倍,大幅降低了FPGA资源的消耗。 最后,在完成无线信道仿真器的硬件设计之后,对无线信道仿真器的测试根据3GPP TS 25.141 V6.13.0协议中的要求进行,即在数据误块率(BLER)一定的情况下,对不同信道传播环境和不同传输业务下的信噪比(Eb/No)进行测试,单天线和多天线的测试结果符合协议中规定的信噪比(Eb/No)的要求。

    标签: FPGA 无线信道 仿真器

    上传时间: 2013-04-24

    上传用户:小杨高1