虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

可行性验证

  • 基于FPGA的永磁同步电机控制器的研究.rar

    随着电力电子技术、微处理器技术、控制理论及永磁材料等技术的快速发展,以永磁同步电机作为控制对象的传动领域得到了越来越广泛的关注,随着FPGA的技术的普及和广泛应用,使得各种先进的控制算法得以实现,于是数字化、智能化的永磁交流控制器成为必然的发展趋势和当前的研究热点。本文的主要工作就是围绕数字化的永磁同步电机控制器研究来展开。首先深入研究了永磁同步电机的数学建模方法及电机控制策略问题。在对永磁同步电机的数学模型进行了推导的基础上,在PSIM仿真软件中建立了永磁同步电机的电机模型,提出了一种永磁同步电机传统控制系统仿真建模的新方法。其次对常用的数字脉宽调制方法进行了数学推导,并对滑模控制理论和矢量控制进行了深入的研究分析,将滑模变结构控制应用于永磁同步电机的调速系统中,改善了传统PI控制器参数整定繁琐、系统鲁棒性差的缺点,仿真结果验证了该系统设计方案的优越性。最后在永磁同步电机建模仿真的基础上,根据永磁同步电机控制器的设计要求及FPGA的特点,提出永磁同步电机控制器的的设计方案。按照FPGA模块化设计思想,将整个系统进行了合理的划分,分别对SVPWM、Park变换、SMC、反馈速度测量等重要模块的FPGA硬件实现算法进行了深入的研究。各模块在Modelsim平台上完成功能仿真后并下载到Spartan-3E开发板上完成硬件验证,验证结果表明:永磁同步电机在低速和高速时都能稳定运行,从而证实了本设计方案的可行性。

    标签: FPGA 永磁同步 电机控制器

    上传时间: 2013-04-24

    上传用户:wff

  • USB20加密接口芯片的设计及其FPGA验证.rar

    信息安全在当今的社会生产生活中已经被广为关注,对敏感信息进行加密是提高信息安全性的一种常见的和有效的手段。 常见的加密方法有软件加密和硬件加密。软件加密的方法因为加密速度低、安全性差以及安装不便,在一些高端或主流的加密处理中都采用硬件加密手段对数据进行处理。硬件加密设备如加密狗和加密卡已经广泛地应用于信息加密领域当中。 但是加密卡和加密狗因为采用的是多芯片结构,即采用独立的USB通信芯片和独立的加密芯片来分别实现数据的USB传输和加密功能,如果在USB芯片和加密芯片之间进行数据窃听的话,很轻易地就可以获得未加密的明文数据。作者提出了一种新的基于单芯片实现的USB加密接口芯片的构想,采用一块芯片实现数据的USB2.0通信和AES加密功能,命名为USB2.0加密接口芯片。 USB2.0加密接口芯片采用了USB2.0接口标准和AES加密算法。该加密芯片可以实现与主机的快速通信,具有快速的密码处理能力,对外提供USB接口,支持基于USB密码载体的自身安全初始化方式。 根据设计思想,课题研究并设计了USB2.0加密接口芯片的总体硬件架构,设计了USB模块和AES加密模块。为了解决USB通信模块与AES加密模块之间存在的数据处理单元匹配以及速度匹配问题,本文设计了AESUSB缓冲器,优化了AES有限域加密算法。最后,利用VerilogHDL语言在FPGA芯片上实现了USB2.0加密接口芯片的功能,并在此基础之上对加密芯片的通信和加密性能进行了测试和验证。

    标签: FPGA USB 20

    上传时间: 2013-05-24

    上传用户:黄华强

  • G729A语音编解码算法研究及FPGA实现.rar

    语音编码技术始终是语音研究的热点。语音编码作为多媒体通信中信息传输的一个重要环节,越来越受到广泛的重视。G729是由美国、法国、日本和加拿大的几家著名国际电信实体联合开发的,国际电信联盟(ITU-T)于1995年11月正式通过了G729。96年ITU-T又制定了G729的简化方案G729A,主要降低了计算的复杂度以便于实时实现。因其具有良好的合成语音质量、适中的复杂度、较低的时延等优点,G729A标准已被广泛应用在VOIP网关、IP电话中。 论文利用Altera公司的新一代可编程逻辑器件在数字信号处理领域的优势,对G729A语音编码中的线性预测(LP)滤波器系数提取的FPGA(现场可编程门阵列,Field Programmable Gate Array)实现进行了深入研究。论文首先对语音信号处理及其发展进行介绍,深入讨论了G729A语音编解码技术。第二,对Altera公司的Stratix系列可编程器件的内部结构进行了研究,分析了在QuartusII开发平台上进行FPGA设计的流程。第三,基于FPGA,对G729A编码系统的LP分析部分做了具体设计,其中包括自相关函数和杜宾(Durbin)递推两个主要功能模块,并对其工作过程进行了详细的分析。第四,针对系统所使用的除法运算都是商小于1的特点,设计并实现了一个系统专用的除法器模块。最后,在Altera FPGA目标芯片EP1S30F780C7上,对LP分析系统进行了验证,证明了方案的可行性。

    标签: G729A FPGA 语音编解码

    上传时间: 2013-06-20

    上传用户:pwcsoft

  • 基于FFT的GPS信号并行捕获的研究及其FPGA实现.rar

    本课题深入分析了GPS软件接收机基于FFT并行捕获算法并详细阐述了其FPGA的实现。相比于其它的捕获方案,该方案更好地满足了信号处理实时性的要求。 论文的主体部分首先简单分析了扩频通信系统的基本原理,介绍了GPS系统的组成,详细阐述了GPS信号的特点,并根据GPS信号的组成特点介绍了接收机的体系结构。其次,通过对GPS接收机信号捕获方案的深入研究,确定了捕获速度快且实现复杂度不是很高的基于FFT的并行捕获方案,并对该方案提出了几点改进的措施,根据前面的分析,提出了系统的实现方案,利用MATLAB对该系统进行仿真,仿真的结果充分的验证了方案的可行性。接着,对于捕获环节中的核心部分—FFT处理器,设计中没有采用ALTERA提供的IP核,独立设计实现了基于FPGA的FFT处理器,并通过对一组数据在MATLAB中运算得到结果和FPGA输出结果相对比,可以验证该FFT处理器的正确性。再次重点分析了GPS接收机并行捕获部分的FPGA具体实现,通过捕获的FPGA时序仿真波形,证明了该系统已经能成功地捕获到GPS信号。最后,对全文整个研究工作进行总结,并指出以后继续研究的方向。 本课题虽然是对于GPS接收机的研究,但其原理与GALILEO、北斗等导航系统的接收机相近,因此该课题的研究对我国卫星导航事业的发展起到了积极的推动作用。

    标签: FPGA FFT GPS

    上传时间: 2013-08-06

    上传用户:青春123

  • 基于FPGA的信道化中频接收机设计与仿真实现研究.rar

    软件无线电(Software Radio)具有高度灵活性、开放性,很容易实现与现有和未来多种电台的兼容,能最大限度的满足了互联互通的要求。而基于多相滤波器组的信道化软件无线电接收技术以其固有的全概率接收、降采样速率以及其大幅提高运算速率的能力越来越受到重视。本文主要研究了基于现场可编程门阵列(FPGA)的软件无线电信道化中频接收技术设计与实现。 首先介绍了软件无线电的基本概念以及其发展状况,深入讨论了软件无线电的基本理论,主要介绍了设计中所用到的带通采样技术、信号的抽取技术与多相滤波技术。 然后简要介绍了信道化中频接收机的射频(Radio Frequency,RF)前端接收技术,设置宽中频超外差接收机射频前端的设计指标,给出了改进的实信号滤波器组低通型实现结构,并依此推导和建立了实信号多相滤波器组信道化中频接收机的数学模型。 最后基于EP1S80开发平台实现了实信号多相滤波器组信道化的中频接收机。给出了多相滤波器、抽取运算、FFT运算、信道划分以及复乘运算的设计方案。仿真结果表明,该接收机能够实现对中频信号的正确接收,验证了系统设计的可行性。

    标签: FPGA 信道 中频

    上传时间: 2013-05-24

    上传用户:wyaqy

  • 基于软件无线电的16QAM调制解调器设计与FPGA实现.rar

    本文将高效数字调制方式QAM和软件无线电技术相结合,在大规模可编程逻辑器件FPGA上对16QAM算法实现。在当今频谱资源日趋紧缺的情况下有很大现实意义。 论文对16QAM软件实现的基础理论,带通采样理论、变速率数字信号处理相关抽取内插技术做了推导和分析;深入研究了软件无线电核心技术数字下变频原理和其实现结构;对CIC、半带等高效数字滤波器原理结构和性能作了研究;16QAM调制和解调系统设计采用自项向下设计思想;采用硬件描述语言VerilogHDL在EDA工具QuartusII环境下实现代码输入;对系统调试采用了算法仿真和在系统实测调试相结合方法。 论文首先对16QAM调制解调算法进行系统级仿真,并对实现的各模块的可行性仿真验证,在此基础上,完成了调制端16QAM信号的时钟分频模块、串并转换模块、星座映射、8倍零值内插、低通滤波以及FPGA和AD9857接口等模块;解调器主要完成带通采样、16倍CIC抽取滤波,升余弦滚降滤波,以及16QAM解码等模块,实现了16QAM调制器;给出了中频信号时域测试波形和频谱图。本系统在200KHz带宽下实现了512Kbps的高速数据数率传输。论文还对增强型数字锁相环EPLL的实现结构进行了研究和性能分析。

    标签: FPGA QAM 16

    上传时间: 2013-07-10

    上传用户:kennyplds

  • 基于FPGA的矩阵运算实现.rar

    密集型的矩阵运算在信号处理和图像处理中被广泛应用,而且往往需要系统进行实时运算,这就需要系统具有很高的吞吐率。因此寻找矩阵运算的高速实现方法是很有意义的。FPGA的运算速度快并且可以并行运算,和其它矩阵运算的实现方式相比,FPGA有其独特的优势。本文主要设计并实现了基于FPGA的各种矩阵运算模块。 本文首先介绍了矩阵运算的特点和原理,接着讨论了FPGA浮点运算单元的VHDL设计方法,在此基础上,设计了矩阵相乘累加、三角矩阵求逆和一般矩阵分解求逆的运算模块,给出矩阵阶数扩大时各种矩阵运算的分块实现方法。然后在ModelSim环境下仿真了一般矩阵的求逆模块,与Maflab仿真结果比较,分析了运算精度、时间复杂度和资源占用情况,在Virtex-4系列FPGA硬件平台上进行了调试和测试,并通过USB接口将矩阵运算结果送入PC机,验证了基于FPGA矩阵运算的正确性和可行性。最后对矩阵求逆模块在雷达信号中的应用作了简单介绍。

    标签: FPGA 矩阵运算

    上传时间: 2013-06-08

    上传用户:小枫残月

  • 基于FPGA的HDB3编译码设计.rar

    一般由信源发出的数字基带信号含有丰富的低频分量,甚至直流分量,这些信号往往不宜直接用于传输,易产生码间干扰进而直接影响传输的可靠性,因而要对其进行编码以便传输。传统的井下信号在传输过程中普遍采用曼彻斯特码的编解码方式,而该方式的地面解码电路复杂。FPGA(现场可编程门阵列)作为一种新兴的可编程逻辑器件,具有较高的集成度,能将编解码电路集成在一片芯片上,而HDB3码(三阶高密度双极性码)具有解码规则简单,无直流,低频成份少,可打破长连0和提取同步方便等优点。基于上述情况,本文提出了基于FPGA的}tDB3编译码设计方案。 该研究的总体设计方案包括用MATLAB进行HDB3编译码算法的验证,基于FPGA的HDB3码编译码设计与仿真,结果分析与比较三大部分。为了保证该设计的可靠性,首先是进行编译码的算法验证;其次通过在FPGA的集成设计环境QuartusⅡ软件中完成HDB3码的编译、综合、仿真等步骤,通过下载电缆下载到特定的FPGA芯片上,用逻辑分析仪进行时序仿真;最后将算法验证结果与仿真结果作一对比,分析该研究的可行性与可靠性。 研究表明,基于FPGA的HDB3编译码设计具有体积小,译码简单,编程灵活,集成度高,可靠等优点。

    标签: FPGA HDB3 编译码

    上传时间: 2013-05-26

    上传用户:teddysha

  • 基于FPGA的OFDM调制解调器的设计与实现.rar

    正交频分复用(OFDM)技术是一种多载波数字调制技术,具有频谱利用率高、抗多径干扰能力强、成本低等特点,适合无线通信的高速化、宽带化及移动化的需求,将成为下一代无线通信系统(4G)的核心调制传输技术。 本文首先描述了OFDM技术的基本原理。对OFDM的调制解调以及其中涉及的特性和关键技术等做了理论上的分析,指出了OFDM区别于其他调制技术的巨大优势;然后针对OFDM中的信道估计技术,深入分析了基于FFT级联的信道估计理论和基于联合最大似然函数的半盲分组估计理论,在此基础上详细研究描述了用于OFDM系统的迭代的最大似然估计算法,并利用Matlab做了相应的仿真比较,验证了它们的有效性。 而后,在Matlab中应用Simulink工具构建OFDM系统仿真平台。在此平台上,对OFDM系统在多径衰落、高斯白噪声等多种不同的模型参数下进行了仿真,并给出了数据曲线,通过分析结果可正确评价OFDM系统在多个方面的性能。 在综合了OFDM的系统架构和仿真分析之后,设计并实现了基于FPGA的OFDM调制解调系统。首先根据802.16协议和OFDM系统的具体要求,设定了合理的参数;然后从调制器和解调器的具体组成模块入手,对串/并转换,QPSK映射,过采样处理,插入导频,添加循环前缀,IFFT/FFT,帧同步检测等各个模块进行硬件设计,详细介绍了各个模块的设计和实现过程,并给出了相应的仿真波形和参数说明。其中,针对定点运算的局限性,为系统设计并自定义了24位的浮点运算格式,参与傅立叶反变换和傅立叶变换的运算,在系统参数允许的范围内,充分利用了有限资源,提高了系统运算精度;然后重点描述了基于FPGA的快速傅立叶变换算法的改进、优化和设计实现,针对原始快速傅立叶变换FPGA实现算法运算空闲时间过多,资源占用较大的问题,提出了带有流水作业功能、资源占用较少的快速傅立叶变换优化算法设计方案,使之运用于OFDM基带处理系统当中并加以实现,结果满足系统参数的需求。最后以理论分析为依据,对整个OFDM的基带处理系统进行了系统调试与性能分析,证明了设计的可行性。 综上所述,本文完成了一个基于FPGA的OFDM基带处理系统的设计、仿真和实现。本设计为OFDM通信系统的进一步改进提供了大量有用的数据。

    标签: FPGA OFDM 调制解调器

    上传时间: 2013-07-25

    上传用户:14786697487

  • MDIO接口逻辑设计及其FPGA验证.rar

    随着集成电路技术的飞速发展,芯片的规模越来越大,集成度越来越高,工作频率越来越快,但是芯片的设计能力却面临巨大的挑战。而IP核的重用则是解决当今芯片设计所面临问题的最有效的解决方法。 MDIO接口模块为以太网接口芯片中MAC层对PHY器件的控制管理接口。随着以太网技术的快速发展以及MAC应用越来越广泛,MDIO接口模块的应用也越来越多,因此将MDIO接口模块设计成可重用的IP核对于以各种太网接口集成芯片的设计具有很重要的作用。 本文详细描述了MDIO接口模块IP核的设计,介绍了该IP核的系统结构以及各个子模块的详细设计方法,对此IP核进行了仿真验证,最后进行了FPGA测试,功能和性能达到了要求,最终通过了IP审核流程并且已成功应用于企业的以太网接口芯片中。

    标签: MDIO FPGA 接口

    上传时间: 2013-06-20

    上传用户:lishuoshi1996