虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

反射镜

  • ADS工程

    ADS工程,3个版本的2410nboot,1个2440nboot,用来在nand flash引导eboot或者CE操作系统镜像。其中的Nboot_2410(eboot)可以直接引导eboot,nboot位于sector 0,eboot位于sector 2,eboot大小256K(参数大小均可修改) Nboot_2410(toc)需要在sector 1中写入toc file,来引导eboot或者OS image。

    标签: ADS 工程

    上传时间: 2013-12-17

    上传用户:努力努力再努力

  • 当今社会已经进入信息时代

    当今社会已经进入信息时代,网络技术飞速发展。许多的多媒体应用比如视频会议、大规模协作计算、用户群的软件升级、网络代理、站点的镜像和高速缓存等都依赖十从一台主机}句多台主机或者从多台主机}句多台主机发送同一信息的能力。但是在Internet上分发信息的主机的数目可能达到数十万台,发送信息需要很高的带宽,这大大地超出了单播的能力,因}fu一种能最大限度地利用现有带宽的技术一一组播技术出现了。本文只今年来的硕士论文,在这方面做了很多工作,希望对大家有所借鉴。

    标签: 信息时代

    上传时间: 2016-11-07

    上传用户:eclipse

  • tftp-1.0源码

    tftp-1.0源码,可以用作uboot下下载kernel镜像以及文件系统

    标签: tftp 1.0 源码

    上传时间: 2013-12-25

    上传用户:362279997

  • 这是树上的代码

    这是树上的代码,图像滤镜处理的一种Visual C++实现方法,供大家参考

    标签: 代码

    上传时间: 2016-12-04

    上传用户:tfyt

  • 图像变换的源码:有图像旋转

    图像变换的源码:有图像旋转,缩放,镜像,水平,相框,裁剪

    标签: 图像 变换 源码 旋转

    上传时间: 2016-12-08

    上传用户:changeboy

  • //下面是画圆的程序

    //下面是画圆的程序, //画线、画圆、画各种曲线其实都很简单,归根到底就是x、y的二元方程嘛 //对算法感兴趣的话建议去找本《计算机图形学》看看,不是卖关子哦。实在是几句话说不清除,呵呵 // ---------------------------------------------- //字节 void circleDot(unsigned char x,unsigned char y,char xx,char yy)//内部函数,对称法画圆的8个镜像点 {//对称法画圆的8个镜像点

    标签: 程序

    上传时间: 2014-01-07

    上传用户:秦莞尔w

  • 原始的vivi代码

    原始的vivi代码,来自mizi公司的sdk光盘镜像

    标签: vivi 代码

    上传时间: 2016-12-26

    上传用户:netwolf

  • 现代雷达普遍采用相参信号处理,而如何获得高精度基带数字正交( I , Q) 信号是整个系统信号处理成败的关键,以前通常的做法是采用模拟相位检波器得到I、Q信号,其正交性能一般为:幅度平衡在2 % 左右

    现代雷达普遍采用相参信号处理,而如何获得高精度基带数字正交( I , Q) 信号是整个系统信号处理成败的关键,以前通常的做法是采用模拟相位检波器得到I、Q信号,其正交性能一般为:幅度平衡在2 % 左右, 相位正交误差在2°左右,即幅相误差引入的镜像功率在- 34dB 左右。这限制了信号处理器性能的提高, 为此, 近年来提出了对低中频直接采样恢复I、Q 信号的数字相位检波器。随着高位、高速A/ D 的研制成功和普遍应用,使得数字相位检波方法的实现成为可能。 对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。

    标签: 信号处理 信号 现代雷达 基带

    上传时间: 2016-12-27

    上传用户:yxgi5

  • 中频验波是对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。现代雷达普遍采用相参信号处理,而如

    中频验波是对信号进行中频直接采样和数字正交处理后,产生的I 支路和Q 支路信号序列在时间上会错开一个采样间隔,需要进行定序处理,恢复成同步输出的I、Q 两路信号序列。现代雷达普遍采用相参信号处理,而如何获得高精度基带数字正交( I , Q) 信号是整个系统信号处理成败的关键,以前通常的做法是采用模拟相位检波器得到I、Q信号,其正交性能一般为:幅度平衡在2 % 左右, 相位正交误差在2°左右,即幅相误差引入的镜像功率在- 34dB 左右。这限制了信号处理器性能的提高, 为此, 近年来提出了对低中频直接采样恢复I、Q 信号的数字相位检波器。随着高位、高速A/ D 的研制成功和普遍应用,使得数字相位检波方法的实现成为可能。

    标签: 信号 中频 支路 序列

    上传时间: 2016-12-27

    上传用户:kr770906

  • 代序.............四大发明之活字印刷——面向对象思想的胜利 第一章............面试受挫——代码无错就是好? 第二章............代码规范、重构 第三章..

    代序.............四大发明之活字印刷——面向对象思想的胜利 第一章............面试受挫——代码无错就是好? 第二章............代码规范、重构 第三章............复制VS复用 第四章............业务的封装 第五章............体会简单工厂模式的美妙 第六章............工厂不好用了? 第七章............用“策略模式”是一种好策略 第八章............反射——程序员的快乐! 第九章............会修电脑不会修收音机?——聊设计模式原则 第十章............三层架构,分层开发 第十一章...........无熟人难办事?——聊设计模式迪米特法则 第十二章...........有了门面,程序员的程序会更加体面 第十三章...........设计模式不能戏说!设计模式怎就不能戏说?

    标签: 代码 对象 胜利

    上传时间: 2017-01-08

    上传用户:chenbhdt