虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

及其特点

  • DVBSS2调制器的设计及其FPGA实现.rar

    数字高清电视是当前世界上最先进的图像压缩编码技术和数字传输技术的结合,是高技术竞争的焦点之一。其中,信道处理系统及其相关芯片更是集中了数字信号处理、前向纠错编解码等数字电视传输的核心技术,成为设计和开发整个数字电视系统的关键技术之一。本文以卫星数字电视的信道处理系统为对象,结合国际通行的DVB-S/S2标准,研究了该系统在发射端的设计与实现所涉及到的一系列内容。 本文介绍了数字电视的发展概况和主要标准,特别是对我国卫星电视的发展进行了详细的介绍。然后,本文DVB-S/S2信道处理系统的基本原理进行了介绍和分析,主要包括RS码、卷积码、BCH码、LDPC码等的差错编码的基本原理,以及基带信号处理的基本原理。在此基础上对两种系统的传输性能和DVB-S2的后向兼容系统分别进行了基于Matlab的仿真。最后阐述了基于FPGA的DVB-S调制器的信道编码和调制实现,按功能对DVB-S/S2信道编码过程进行模块分解,并针对每个模块进行工作原理分析、算法分析、HDL描述、时序仿真及FPGA实现。DVB-S/S2调制器的核心是信道编码和调制部分,利用FPGA在数字信号处理方面的优势,本文重点对其中的几个关键模块,包括RS编码、卷积交织器、卷积编码、BCH编码、LDPC编码等的实现算法进行了比较详细的分析,并通过HDL描述和时序仿真来验证算法正确性。

    标签: DVBSS2 FPGA 调制器

    上传时间: 2013-07-10

    上传用户:gmh1314

  • 基于FPGA的绝对式光电编码器通信接口研究.rar

    高速、高精度已经成为伺服驱动系统的发展趋势,而位置检测环节是决定伺服系统高速、高精度性能的关键环节之一。光电编码器作为伺服驱动系统中常用的检测装置,根据结构和原理的不同分为增量式和绝对式。本文从原理上对增量式光电编码器和绝对式光电编码器做了深入的分析,通过对比它们的特性,得出了绝对式光电编码器更适合高速、高精度伺服驱动系统的结论。 绝对式光电编码器精度高、位数多的特点决定其通信方式只能采取串行传输方式,且由相应的通信协议控制信息的传输。本文首先针对编码器主要生产厂商日本多摩川公司的绝对式光电编码器,深入研究了通信协议相关的硬件电路、数据帧格式、时序等。随后介绍了新兴的电子器件FPGA及其开发语言硬件描述语言Verilog HDL,并对基于FPGA的绝对式编码器通信接口电路做了可行性的分析。在此基础上,采用自顶向下的设计方法,将整个接口电路划分成发送模块、接收模块、序列控制模块等多个模块,各个模块采用Verilog语言进行描述设计编码器接口电路。最终的设计在相关硬件电路上实现。最后,通过在TMS320F2812伺服控制平台上编写的硬件驱动程序验证了整个设计的各项功能,达到了设计的要求。

    标签: FPGA 光电编码器 通信接口

    上传时间: 2013-07-11

    上传用户:snowkiss2014

  • 数字电视地面广播传输系统发端FPGA设计与实现.rar

    本项目完成的是基于中国“数字电视地面广播传输系统帧结构、信道编码和调制”国家标准的发射端系统FPGA设计与实现。在本设计中,系统采用了Stratix系列的EP1S80F1020C5 FPGA为基础构建的主硬件处理平台。对于发射端系统,数据处理部分的扰码器(随机化)、前向纠错编码(FEC)、符号星座映射、符号交织、系统信息复用、频域交织、帧体数据处理(OFDM调制)、同步PN头插入、以及信号成形4倍插值滚降滤波器(SRRC)等各模块都是基于FPGA硬件设计实现的。其中关键技术:TDS-OFDM技术及其和绝对时间同步的复帧结构、信号帧的头和帧体保护技术、低密度校验纠错码(LDPC)等,体现了国标的自主创新特点,为数字电视领域首次采用。其硬件实现,亦尚未有具体产品参考。 本文首先介绍了当今国内外数字电视的发展现状,中国数字电视地面广播传输国家标准的颁布背景。并对国标系统技术原理框架,发端系统的整体结构以及FPGA设计的相关知识进行了简要介绍。在此基础上,第三章重点、详细地介绍了基于FPGA实现的发射端系统各主要功能模块的具体结构设计,论述了系统中各功能模块的FPGA设计和实现,包括设计方案、算法和结构的选取、FPGA实现、仿真分析等。第四章介绍了对整个系统的级连调试过程中,对系统结构进行的优化调整,并对级连后的整个系统的性能进行了仿真、分析和验证。作者在项目中完成的工作主要有: 1.阅读相关资料,了解并分析国标系统的技术结构和原理,分解其功能模块。 2.制定了基于国标的发端系统FPGA实现的框架及各模块的接口定义。 3.调整和改进了3780点IFFT OFDM调制模块及滚降滤波器模块的FPGA设计并验证。 4.完成了扰码器、前向纠错编码、符号星座映射、符号交织、系统信息复用、频域交织、帧体数据处理、同步PN头插入、以及信号成形4倍插值滚降滤波器等功能模块的FPGA设计和验证。 5.在系统级连调试中,利用各模块数据结构特点,优化系统模块结构。 6.完成了整个发射端系统FPGA部分的调试、分析和验证。

    标签: FPGA 数字电视 地面广播

    上传时间: 2013-04-24

    上传用户:zzbbqq99n

  • LDPC编码算法研究及其FPGA实现.rar

    LDPC(Low Density Parity Check)码是一类可以用非常稀疏的校验矩阵或二分图定义的线性分组纠错码,最初由Gallager发现,故亦称Gallager码.它和著名Turbo码相似,具有逼近香农限的性能,几乎适用于所有信道,因此成为近年来信道编码界研究的热点。 LDPC码的奇偶校验矩阵呈现稀疏性,其译码复杂度与码长成线性关系,克服了分组码在长码长时所面临的巨大译码计算复杂度问题,使长编码分组的应用成为可能。而且由于校验矩阵的稀疏特性,在长的编码分组时,相距很远的信息比特参与统一校验,这使得连续的突发差错对译码的影响不大,编码本身就具有抗突发差错的特性。 本文首先介绍了LDPC码的基本概念和基本原理,其次,具体介绍了LDPC码的构造和各种编码算法及其生成矩阵的产生方法,特别是准循环LDPC码的构造以及RU算法、贪婪算法,并在此基础上采用贪婪算法对RU算法进行了改进。 最后,选用Altera公司的Stratix系列FPGA器件EPls25F67217,实现了码长为504的基于RU算法的LDPC编码器。在设计过程中,为节省资源、提高速度,在向量存储时采用稀疏矩阵技术,在向量相加时采用通过奇校验直接判定结果的方法,在向量乘法中,采用了前向迭代方法,避开了复杂的矩阵求逆运算。结果表明,该编码器只占用约10%的逻辑单元,约5%的存储单元,时钟频率达到120MHz,数据吞吐率达到33Mb/s,功能上也满足编码器的要求。

    标签: LDPC FPGA 编码

    上传时间: 2013-06-09

    上传用户:66wji

  • 基于DSPFPGA的图像处理电路板硬件设计.rar

    波前处理机是自适应光学系统中实时信号处理和运算的核心,随着自适应光学系统得发展,波前传感器的采样频率越来越高,这就要求波前处理机必须有更强的数据处理能力以保证系统的实时性。在整个波前处理机的工作流程中,对CCD传来的实时图像数据进行实时处理是第一步,也是十分重要的一步。如果不能保证图像处理的实时性,那么后续的处理过程都无从谈起。因此,研制高性能的图像处理平台,对波前处理机性能的提高具有十分重要的意义。 论文介绍了本研究课题的背景以及国内外图像处理技术的应用和发展状况,接着介绍了传统的专用和通用图像处理系统的结构、特点和模型,并通过分析DSP芯片以及DSP系统的特点,提出了基于DSP和FPGA芯片的实时图像处理系统。该系统不同于传统基于PC机模式的图像处理系统,发挥了DSP和FPGA两者的优势,能更好地提高图像处理系统实时性能,同时也最大可能地降低成本。 论文根据图像处理系统的设计目的、应用需求确定了器件的选型。介绍了主要的器件,接着从系统架构、逻辑结构、硬件各功能模块组成等方面详细介绍了DSP+FPGA图像处理系统硬件设计,并分析了包括各种参数指标选择、连接方式在内的具体设计方法以及应该注意的问题。 论文在阐述传输线理论的基础上,在制作PCB电路板的过程中,针对高速电路设计中易出现的问题,详细分析了高速PCB设计中的信号完整性问题,包括反射、串扰等,说明了高速PCB的信号完整性、电源完整性和电磁兼容性问题及其解决方法,进行了一定的理论和技术探讨和研究。 论文还介绍了基于FPGA的逻辑设计,包括了图像采集模块的工作原理、设计方案和SDRAM控制器的设计,介绍了SDRAM的基本操作和工作时序,重点阐述系统中可编程器件内部模块化SDRAM控制器的设计及仿真结果。 论文最后描述了硬件系统的测试及调试流程,并给出了部分的调试结果。 该系统主要优点有:实时性、高速性。硬件设计的执行速度,在高速DSP和FPGA中实现信号处理算法程序,保证了系统实时性的实现;性价比高。自行研究设计的电路及硬件系统比较好的解决了高速实时图像处理的需求。

    标签: DSPFPGA 图像处理 电路板

    上传时间: 2013-05-30

    上传用户:fxf126@126.com

  • G729A语音编解码算法研究及FPGA实现.rar

    语音编码技术始终是语音研究的热点。语音编码作为多媒体通信中信息传输的一个重要环节,越来越受到广泛的重视。G729是由美国、法国、日本和加拿大的几家著名国际电信实体联合开发的,国际电信联盟(ITU-T)于1995年11月正式通过了G729。96年ITU-T又制定了G729的简化方案G729A,主要降低了计算的复杂度以便于实时实现。因其具有良好的合成语音质量、适中的复杂度、较低的时延等优点,G729A标准已被广泛应用在VOIP网关、IP电话中。 论文利用Altera公司的新一代可编程逻辑器件在数字信号处理领域的优势,对G729A语音编码中的线性预测(LP)滤波器系数提取的FPGA(现场可编程门阵列,Field Programmable Gate Array)实现进行了深入研究。论文首先对语音信号处理及其发展进行介绍,深入讨论了G729A语音编解码技术。第二,对Altera公司的Stratix系列可编程器件的内部结构进行了研究,分析了在QuartusII开发平台上进行FPGA设计的流程。第三,基于FPGA,对G729A编码系统的LP分析部分做了具体设计,其中包括自相关函数和杜宾(Durbin)递推两个主要功能模块,并对其工作过程进行了详细的分析。第四,针对系统所使用的除法运算都是商小于1的特点,设计并实现了一个系统专用的除法器模块。最后,在Altera FPGA目标芯片EP1S30F780C7上,对LP分析系统进行了验证,证明了方案的可行性。

    标签: G729A FPGA 语音编解码

    上传时间: 2013-06-20

    上传用户:pwcsoft

  • 基于FFT的GPS信号并行捕获的研究及其FPGA实现.rar

    本课题深入分析了GPS软件接收机基于FFT并行捕获算法并详细阐述了其FPGA的实现。相比于其它的捕获方案,该方案更好地满足了信号处理实时性的要求。 论文的主体部分首先简单分析了扩频通信系统的基本原理,介绍了GPS系统的组成,详细阐述了GPS信号的特点,并根据GPS信号的组成特点介绍了接收机的体系结构。其次,通过对GPS接收机信号捕获方案的深入研究,确定了捕获速度快且实现复杂度不是很高的基于FFT的并行捕获方案,并对该方案提出了几点改进的措施,根据前面的分析,提出了系统的实现方案,利用MATLAB对该系统进行仿真,仿真的结果充分的验证了方案的可行性。接着,对于捕获环节中的核心部分—FFT处理器,设计中没有采用ALTERA提供的IP核,独立设计实现了基于FPGA的FFT处理器,并通过对一组数据在MATLAB中运算得到结果和FPGA输出结果相对比,可以验证该FFT处理器的正确性。再次重点分析了GPS接收机并行捕获部分的FPGA具体实现,通过捕获的FPGA时序仿真波形,证明了该系统已经能成功地捕获到GPS信号。最后,对全文整个研究工作进行总结,并指出以后继续研究的方向。 本课题虽然是对于GPS接收机的研究,但其原理与GALILEO、北斗等导航系统的接收机相近,因此该课题的研究对我国卫星导航事业的发展起到了积极的推动作用。

    标签: FPGA FFT GPS

    上传时间: 2013-08-06

    上传用户:青春123

  • 视频图像采集和预处理系统的FPGA实现.rar

    本文研究的视频处理系统是上海市科委技术攻关基金项目“计算机视觉及其芯片化实现”的一部分,主要完成计算机视觉系统的一些基本工作,即视频图像的采集、预处理和显示等。 视频图像采集和预处理系统以Xilinx公司Virtex-ⅡPro系列的FPGA为核心控制器件,结合视频模数转换芯片和VGA显示器,完成视频图像的实时采集、预处理和显示。采集和显示部分作为同外界交流信息的渠道,是构成计算机视觉系统必不可少的一部分;图像预处理则是计算机视觉系统进行高层处理的基础,优秀的预处理算法能有效改善图像质量,提高系统分析判断的准确性。 本文在介绍基于FPGA的视频采集、预处理系统整体架构的基础上,围绕以下四个方面展开了工作: 1.研究并给出了两种基于FPGA的设计方案用于实现YCrCb色度空间到RGB色度空间的转换; 2.针对采集的视频图像,根据VGA显示的要求,给出了一种实现图像去隔行的方案; 3.分析了一系列图像滤波的预处理算法,如均值滤波、中值滤波和自适应滤波等,在比较和总结各算法特点的基础上,提出了一种新的适用于处理混合噪声的滤波算法:混合自适应滤波法; 4.根据算法特点设计了多种采用FPGA实现的图像滤波算法,并对硬件算法进行RTL级的功能仿真和验证,还给出了各种滤波算法的实验结果,在此基础上对各种算法的效果进行直观的比较。 文中,预处理算法的实现充分利用了FPGA的片内资源,体现了FPGA在图像处理方面的特点及优势。同时,视频采集和显示的控制模块也由同一FPGA芯片实现,从而简化了系统整体结构。视频采集和预处理系统在FPGA上的成功实现为“计算机视觉及其芯片化实现”奠定了必要的基础、提供了一定理论依据。

    标签: FPGA 视频图像

    上传时间: 2013-07-26

    上传用户:alia

  • FPGA芯片关键电路设计.rar

    现场可编程门阵列(FPGA)器件是能通过对其进行编程实现具有用户规定功能的电路,特别适合集成电路的新品开发和小批量ASIC电路的生产。近几年来,FPGA的发展非常迅速,但目前国内厂商所使用的FPGA芯片主要还是从国外进口,这种状况除了给生产厂家带来很大的成本压力以外,同时也影响到国家信息产业的保密和安全问题,因此在国内自主研发FPGA便成为一种必然的趋势。 基于上述现实状况及国内市场的巨大需求,中国电子科技集团公司第58研究所近年来对FPGA进行了专项研究,本论文正是作为58所专项的一部分研究工作的总结。本文深入研究了FPGA的相关设计技术,并进行了实际的FPGA器件设计,研究工作的重点是在华润上华(CSMC)0.5μm标准CMOS工艺基础上进行具有6000有效门的FPGA的电路设计与仿真。 论文首先阐述了可编程逻辑器件的基本结构,就可编程逻辑器件的发展过程及其器件分类,对可编程只读存储器、现场可编程逻辑阵列、可编程阵列逻辑、通用逻辑阵列和复杂PLD等的基本结构特点进行了讨论。接着讨论了FPGA的基本结构与分类及它的编程技术,另外还阐述了FPGA的集成度和速率等相关问题。并根据实际指标要求确定本文研究目标FPGA的基本结构和它的编程技术,在华润上华0.5μm标准CMOS工艺的基础上,进行一款FPGA芯片的设计研究工作。进行了可编程逻辑单元的基本结构的设计,并用CMOS逻辑和NMOS传输管逻辑实现了函数发生器、快速进位链和触发器的电路设计,并对其进行了仿真,达到了预期的目标。

    标签: FPGA 芯片 电路设计

    上传时间: 2013-08-01

    上传用户:baitouyu

  • 基于FPGA的卷积编码和维特比译码的研究与实现.rar

    在数字通信中,采用差错控制技术(纠错码)是提高信号传输可靠性的有效手段,并发挥着越来越重要的作用。纠错码主要有分组码和卷积码两种。在码率和编码器复杂程度相同的情况下,卷积码的性能优于分组码。 卷积码的译码方法主要有代数译码和概率译码。代数译码是基于码的代数结构;而概率译码不仅基于码的代数结构,还利用了信道的统计特性,能充分发挥卷积码的特点,使译码错误概率达到很小。 卷积码译码器的设计是由高性能的复杂译码器开始的,对于概率译码最初的序列译码,随着译码约束长度的增加,其译码错误概率可达到非常小。后来慢慢地向低性能的简单译码器演化,对不太长的约束长度,维特比(Viterbi)算法是非常实用的。维特比算法是一种最大似然的译码方法。当编码约束度不太大(小于等于10)或者误码率要求不太高(约10-5)时,Viterbi译码算法效率很高,速度很快,译码器也较简单。 目前,卷积码在数传系统,尤其是在卫星通信、移动通信等领域已被广泛应用。 本论文对卷积码编码和Viterbi译码的设计原理及其FPGA实现方案进行了研究。同时,将交织和解交织技术应用于编码和解码的过程中。 首先,简要介绍了卷积码的基础知识和维特比译码算法的基本原理,并对硬判决译码和软判决译码方法进行了比较。其次,讨论了交织和解交织技术及其在纠错码中的应用。然后,介绍了FPGA硬件资源和软件开发环境Quartus Ⅱ,包括数字系统的设计方法和设计规则。再有,对基于FPGA的维特比译码器各个模块和相应算法实现、优化进行了研究。最后,在Quartus Ⅱ平台上对硬判决译码和软判决译码以及有无交织等不同情况进行了仿真,并根据仿真结果分析了维特比译码器的性能。 分析结果表明,系统的误码率达到了设计要求,从而验证了译码器设计的可靠性,所设计基于FPGA的并行Viterbi译码器适用于高速数据传输的场合。

    标签: FPGA 卷积 编码

    上传时间: 2013-04-24

    上传用户:tedo811