虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

函数任意波

  • 高速FPGA在激光回波检测中的应用

    激光测距是激光技术在军事上最早和最成熟的应用,自1961.年美国休斯飞机公司研制成功世界上第一台激光测距机之后,激光测距技术发展迅速。如今,它已经被广泛运用于军用领域和民用领域。为了进一步提高我国激光测距水平,研制更高性能激光测距机依然是我国国防科技研究中的重要课题之一。其中,测距精度是激光测距机的一个重要参数。而激光测距机能否准确的检测激光回波信号将直接影响测距精度。 脉冲激光测距系统主要包括激光发射子系统、激光回波探测子系统、回波检测与主控子系统、终端显示子系统等组成。其中设计高精度激光回波检测与主控子系统是实现高精度激光测距的核心问题。传统激光回波检测与主控子系统通常采用分立元件和小规模集成电路设计,电路复杂且精度较低。随着数字电路设计技术的发展,已出现大规模可编程逻辑器件FPGA(现场可编程门阵列)和CPLD(复杂可编程逻辑器件)。采用FPGA代替传统的分立元件和小规模集成电路来设计激光回波检测与主控子系统,不仅提高了回波检测精度,同时简化了整个测距系统的设计。 本文研究了将激光回波信号直接送入FPGA进行检测的方案。同时,采用这种方案设计了一种激光回波检测系统,并把它成功运用在一引信项目中。这种方案电路设计简单,易于实现。在实际应用中,由于激光回波探测子系统只是完成由光信号到电信号的转换及简单放大,理论分析和试验结果均表明,采用该方案进行回波检测的精度较低,这种回波检测方法也只能应用在测距精度要求低的项目中。 为了满足另一高精度测距项目的需要,在FPGA直接进行激光回波检测方案的基础上,设计了一种高精度激光回波检测系统。文中介绍了其实现原理,理论上分析了该系统所能达到的回波检测精度及整机测距系统的测距精度。与第一种方案相比,该方案引入了超高速数据采集电路。由于采样速率高达lGsps,该方案实现的难点在于如何保证数据采集电路的稳定工作。文中从总体方案的设计,到器件的选型,硬件电路板的实现等方面做了详细的阐述,最终完成了系统硬件电路设计。接着介绍了系统程序设计。后面给出了试验测试结果,该系统工作稳定,性能良好。系统设计中引入的超高速数据采集电路有着广泛的应用,为其他相关设计提供了参考。最后,对全文做了工作总结,并给出了接下来的后续工作与展望。 本文在高速FPGA对激光回波信号检测方向取得了一定的成果,为进一步研究提供了参考价值。

    标签: FPGA 激光 回波 中的应用

    上传时间: 2013-06-13

    上传用户:cy1109

  • 小波变换研究及其FPGA实现

    傅里叶变换是信号处理领域中较完善、应用较广泛的一种分析手段.但傅里叶变换只是一种时域或频域的分析方法,它要求信号具有统计平稳,即时不变的特性.但是实际应用中存在很多非平稳信号,它们并不能很好的用傅立叶变换来处理.小波变换的出现解决了这个问题,它在处理非平稳信号方面具有傅立叶变换无法比拟的优越性.小波变换在通信技术、信号处理、地球物理、水利电力、医疗等领域中获得了日益广泛的应用.小波变换的研究成为了当今学术界的一个热点.随着现代数字信号处理朝着高速实时的方向发展,纯软件的程序式信号处理方法越来越不能满足实际应用的需求,因此人们希望用硬件电路来实现高速信号处理问题.基于以上原因,该文在研究了小波变换的基本理论和特点的基础上,重点研究了小波变换的VLSI电路构架,并用FPGA实现了它的功能.毫无疑问,该文所做的具体工作在理论和实践上都有参考价值.论文中,在简单介绍了小波变换的基本理论、特点和应用;对信号小波变换分解,重构的MATLAB算法进行了分析,为硬件实现奠定了理论基础.论文在研究了小波核心算法MALLAT算法的基础上,以直观的图形方式描述了算法的流程图;并由此提出了基于VLSI的电路模块架构.根据上述模块结构,对相关模块进行了硬件描述语言(VERILOG-HDL)的建模,并且在仿真平台上(ACTIVE-HDL)进行了仿真.在仿真正确的前提下,该文选用了EP20K100BC356-1V芯片作为目标器件进行了综合和后仿真,并且将仿真结果通过MATLAB与理论参数进行了比较,结果表明设计是正确的.对设计中存在的误差和部分模块的进一步优化,该文也作了分析和说明,为下一步实现通用IP核设计奠定了基础.

    标签: FPGA 小波变换

    上传时间: 2013-06-27

    上传用户:zhaoq123

  • 二维离散小波变换的FPGA实现

    小波变换是一种新兴的理论,是数学发展史上的重要成果。它无论对数学还是对工程应用都产生了深远的影响。最新的静态图像压缩标准JPEG2000就以离散小波变换(DWT)作为核心变换算法。 本文首先较为详细地分析了小波变换的理论基础,对多分辨率分析、Mallat算法和提升算法做了介绍。然后分析了JPEG2000所采用的小波滤波器,并引入了一个新的LS97小波。该小波系数简单、易于硬件实现,并且与CDF97小波有很好的兼容性,可作为CDF97小波的替代者。使用Matlab对CDF97小波和LS97小波的兼容性做仿真测试,结果表明这两个小波具有几乎相同的性能。在确定所用的小波后,本文设计了二维离散小波变换的硬件结构。设计过程中对标准二维小波变换做了优化,即将行变换和列变换的归一化步骤合并计算,这样可以减少两次乘法操作。另外还使用移位加代替乘法,提取移位加中的公共算子等方式来优化设计。对于边界数据的处理,本文采用了嵌入式对称延拓技术,不需要额外的缓存,节约了硬件资源。为提高硬件利用率,本文将LeGall53小波变换和LS97小波变换统一起来,只要一个控制信号就可实现两者之间的转换。本文所提出的结构采用基于行的变换方式,只需要六行中间数据即可完成全部行数据的小波变换。采用流水线技术提高了整个设计的运行速度。最后也给出了二维离散小波反变换的实现结构。 在完成硬件结构设计的基础上,使用Verilog硬件描述语言对整个设计进行了完全可综合的RTL级描述,采用同步设计,提高了可靠性。在Xilinx公司的FPGA开发软件ISE6.3i中对正反小波变换做了仿真和实现,结果表明,本设计能高速高精度地完成正反可逆和不可逆小波变换,可以满足各种实时性要求。

    标签: FPGA 二维 离散小 波变换

    上传时间: 2013-07-25

    上传用户:sn2080395

  • 10种精密全波整流电路

    十种精密全波整流电路 图中精密全波整流电路的名称,纯属本人命的名,只是为了区分;除非特殊说明,增益均按1设计.    图1是最经典的电路,优

    标签: 精密 全波整流 电路

    上传时间: 2013-07-21

    上传用户:zoushuiqi

  • STM32F103函数库

    STM32函数库的中文说明,希望对大家学习ARM有所帮助。

    标签: F103 STM 103 32F

    上传时间: 2013-06-23

    上传用户:chuckbassboy

  • 基于DDSFPGA的多波形信号源的研究

    直接数字合成(DDS)技术采用全数字的合成方法,所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。本文研究的是一种基于DDS/FPGA的多波形信号源系统,其中,DDS技术是其核心技术。DDS可以精确地控制合成信号的三个参量:幅度、相位以及频率,因此利用DDS技术可以合成任意波形。但因其数字化合成的固有特点,使其输出信号中存在大量杂散信号。杂散信号的主要来源是:相位截断带来的杂散信号;幅度量化带来的杂散信号;DAC的非线性特性带来的杂散信号。这些杂散信号严重影响了合成信号的频谱纯度。因此抑制这些杂散信号是提高合成信号谱质的关键。 本文在研究各种抑制DDS杂散技术的基础上,提出了中和加扰技术,这可以在很大程度上减小杂散对DDS输出信号谱质的影响。 EP1S808956C6是一款高性能的FPGA芯片,其超强的数据处理能力十分适合应用于DDS多波形信号源的开发。在QuartusⅡ平台下运用Verilog HDL语言和原理图设计可以很方便地应用各种抑制杂散信号的方法来提高输出信号的谱质。 结合高速DDS技术和FPGA两者的优点,本文设计了一种基于DDS/FPGA的多波形信号源,它能完成正弦波、余弦波、三角波、锯齿波、方波、AM、SSB、FM、2ASK、2FSK、π/4-QDPSK等多种信号。使得所设计的信号源可以适应多种不同的工作环境,给工作带了方便。

    标签: DDSFPGA 多波形 信号源

    上传时间: 2013-07-27

    上传用户:sc965382896

  • 基于FPGA的DDS的研究设计与实现

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域。目前,常用的频率合成技术有直接式频率合成、锁相频率合成和直接数字频率合成(DDS)。DDS系统可以很方便地获得频率分辨率很精细且相位连续的信号,也可以通过改变相位字改变信号的相位,因此也广泛用于数字通信领域。 本论文是利用FPGA完成一个DDS系统。DDS是把一系列数字量形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换器产生已经用数字形式存入的正弦波(或其他任意波形)。一个典型的DDS系统应包括:相位累加器,可在时钟的控制下完成相位的累加(一般由ROM实现);DA转换电路,将数字形式的幅度码转换成模拟信号。 本文根据设计指标,进行了DDS系统分析和设计,包括DDS系统框图的设计,相位控制字和频率控字的设计,以及软件和硬件设计,重点在于利用FPGA改进设计,包括控制系统(频率控制器和初始相位控制器),寻址系统(相位累加器和数据存储器),以及转换系统(D/A转换器和滤波器)的设计。介绍了利用现场可编程逻辑门阵列(FPGA)实现数控振荡器(DNO,即DDS)的原理、电路结构,重点介绍了DDS技术在FPGA中的实现方法,给出了采用ALTERA公司的FIEX1OK系列FPGA芯片EPF10K20TC144-4芯片进行直接数字频率合成的VHDL源程序。

    标签: FPGA DDS

    上传时间: 2013-04-24

    上传用户:huangzchytems

  • 基于FPGA的DDS信号源的设计

    频率合成技术广泛应用于通信、航空航天、仪器仪表等领域,目前,常用的频率合成技术有直接频率合成、锁相频率合成和直接数字频率合成(DDS)等。其中DDS是一种新的频率合成方法,是频率合成的一次革命。全数字化的DDS技术由于具有频率分辨率高、频率切换速度快、相位噪声低和频率稳定度高等优点而成为现代频率合成技术中的佼佼者。随着数字集成电路、微电子技术和EDA技术的深入研究,DDS技术得到了飞速的发展。 DDS是把一系列数字量化形式的信号通过D/A转换形成模拟量形式的信号的合成技术。主要是利用高速存储器作查寻表,然后通过高速D/A转换产生已经用数字形式存入的正弦波(或其它任意波形)。一个典型的DDS系统应包括以下三个部分:相位累加器可以时钟的控制下完成相位的累加;相位一幅度码转换电路一般由ROM实现;D/A转换电路,将数字形式的幅度码转换成模拟信号。 现场可编程门阵列(FPGA)设计灵活、速度快,在数字专用集成电路的设计中得到了广泛的应用。本论文主要讨论了如何利用FPGA来实现一个DDS系统,该DDS系统的硬件结构是以FPGA为核心实现的,使用Altera公司的Cyclone系列FPGA。 文章首先介绍了频率合成器的发展,阐述了基于FPGA实现DDS技术的意义;然后介绍了DDS的基本理论;接着介绍了FPGA的基础知识如结构特点、开发流程、使用工具等;随后介绍了利用FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等。重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序。采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点;接着对输出信号频谱进行了分析,特别是对信号的相位截断误差和幅度量化误差进行了详细的讨论,由此得出了改善系统性能的几种方法;最后给出硬件实物照片和测试结果,并对此作了一定的分析。

    标签: FPGA DDS 信号源

    上传时间: 2013-04-24

    上传用户:yx007699

  • 基于FPGA实现雷达信号处理和图像显示

    在船舶交管系统中,雷达信息处理是最重要的组成部分。视频回波处理中的杂波处理要求实时性很高,大约要在一个距离单元的时间(0.05-0.1us)内完成。杂波处理如恒虚警处理本身比较复杂,这类处理过程又要求快速,图像显示系统要求及时的把接收到的雷达方位数据从极坐标转换成直角坐标。在软件上实现这些算法虽然精度可以达到,但是实时性问题不能满足。因此这类问题多采用高速专用数字设备来实现。FPGA在数字信号处理领域有非常广阔的应用前景,以其优良的性能在数字信号处理中发挥了重大的作用。CORDIC算法可以在硬件上以很高的精度实现一些函数和运算。针对以上几点,本文提出了利用CORDIC算法,基于FPGA来实现雷达信号处理和图像显示的算法研究,用硬件来实现正弦、余弦、正切、乘法、除法、指数和对数等基本函数和运算,把他们设计成为可重用的IP core,这样可以满足实时性和精度的问题。从而在将来的算法研究中方便的调用,这样在算法研究中可以节约大量的时间,在一定程度上降低研究的难度。 围绕雷达信号处理和图像显示,本次课题设计主要做了如下工作: 1.对CORDIC算法进行分析和研究,以及它在雷达信号处理和图像显示中的影响。 2.成功用硬件描述语言在Xilinx公司软件ISE的环境下编写代码,在Synplify和Modelsim上做了综合和仿真。 3.对实验结果进行精度和速度分析。 4.对雷达信号处理和图像显示的相关算法进行分析和研究。 5.从实例分析IP core的特点,对算法研究的影响和IP core在雷达信号处理和图像显示中的应用。 最终在实践环节,成功利用CORDIC算法,在FPGA上实现可重用的IP core,这些IP core能够以很高的精度实现一些基本函数和运算,在雷达信号处理与图像显示中起到很大的作用。

    标签: FPGA 雷达信号处理 图像显示

    上传时间: 2013-07-16

    上传用户:steele

  • 正弦波逆变器原理图

    正弦波逆变器原理图,网上下载,做了一个,感觉不错,

    标签: 正弦波逆变器 原理图

    上传时间: 2013-05-24

    上传用户:s蓝莓汁