虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

光栅信号采集

  • 基于FPGA的脉冲涡流硬度无损检测

    涡流无损检测技术作为五大常规无损检测技术之一,不仅能够探测导体表面的涂层厚度,材料成分,组织状态以及某些物理量和机械量,还能检测材料或构件中是否有缺陷并判断缺陷的形状、大小、分布、走向。脉冲涡流无损检测技术因其激励信号的频域特点,具有有效率高,检测准确的特性,因而有着广泛的应用前景。 用无损检测方法进行钢铁材质检测的研究工作取得了大量成果,然而对于钢材及其制品的混料、硬度和裂纹质量检测还存在许多难题,如用传统检测方法检测齿轮毛坯的硬度效果不够理想,而且人工记录方法较慢。 本文以涡流检测技术理论为基础,系统地分析了脉冲涡流检测的基本理论。在此基础上设计了一套用于检测钢铁材硬度的脉冲涡流检测仪器。该脉冲涡流检测系统可分为硬件、软件两个子系统。整个系统由激励源、涡流传感器、数据处理、结果显示这四个主要部分组成。在涡流探伤中,影响涡流的因素很多,产生大量噪声使得信号分析相对困难。系统以FPGA为开发平台,使得信号激励和信号的采集可以在同一电路中实现,从而提高了信号处理的精确性,接着利用主成分分析方法去除噪音,提取信号的特征值,建立回归方程,利用最小二乘法实现对钢铁材质硬度的测量。实验结果表明,以FPGA为开发平台,采用脉冲涡流激励的方式及相关的脉冲涡流的主成分分析处理方法,使钢铁材质硬度的判别准确率有了很大提高。

    标签: FPGA 脉冲 涡流 无损检测

    上传时间: 2013-04-24

    上传用户:327000306

  • 基于FPGA的数字视频信号发生器

    随着数字技术的高速发展,越来越多的针对数字视频压缩、传送、显示等的设备涌入市场。要从这些良莠不齐的产品中挑选出令人满意的商品,一套良好的数字视频测试设备就必不可少。然而,现阶段大多数数字视频信号源都存在不同的缺点,如测试图像种类太少、没有动态测试源、缺乏专用测试信号等。为有效克服这些缺陷,作者设计并开发了一套基于FPGA的数字视频信号发生器。整个系统包括硬件平台和图像格式转换软件两大部分。硬件平台本身即为独立的信号发生器,可以生成多种测试图像。配备了图像格式转换软件,就可以实现硬件平台从PC机接收各种静态测试图像、动态测试序列,不断更新测试图像库。整个系统具有良好的硬件体系结构、便捷的输入接口,稳定的信号输出,同时操作灵活、方便,易于升级更新。 在系统的开发过程中,使用了多种硬件、软件开发工具,如PROTEL DXP、ISE、MODEL SIM、MATLAB、C#.NET等。由于软硬件调试均由同一人完成,因此整个系统具备良好的统一性和兼容性。 另外,作者还研究并设计了一种针对H.264编解码器压缩损伤的测试信号。评估一个编码器的性能可采用主观评价或客观评价两种方法。其中主观评价最为直接、有效。本文在依托主观评价方法的基础上,结合客观参数的指导性,研究并设计一种通过人眼就可以方便的观测到实际存在的压缩损伤的测试信号,以达到直接对编解码器性能进行比较的目的。

    标签: FPGA 数字视频 信号发生器

    上传时间: 2013-07-19

    上传用户:cxl274287265

  • 基于FPGA的图像处理算法及压缩编码

    本文以“机车车辆轮对动态检测装置”为研究背景,以改进提升装置性能为目标,研究在Altera公司的FPGA(Field Programmable Gate Array)芯片Cyclone上实现图像采集控制、图像处理算法、JPEG(Joint Photographic Expert Group)压缩编码标准的基本系统。本文使用硬件描述语言Verilog,以RedLogic的RVDK开发板作为硬件平台,在开发工具OUARTUS2 6.0和MODELSIM SE 6.1B环境中完成软核的设计与仿真验证。 数据采集部分完成的功能是将由模拟摄像机拍摄到的图像信号进行数字化,然后从数据流中提取有效数据,加以适当裁剪,最后将奇偶场图像数据合并成帧,存储到存储器中。数字化及码流产生的功能由SAA7113芯片完成,由FPGA对SAA7113芯片初始化设置、控制,并对数字化后的数据进行操作。 图像处理算法部分考虑到实时性与算法复杂度等因素,从装置的图像处理流程中有选择性地实现了直方图均衡化、中值滤波与边缘检测三种图像处理算法。 压缩编码部分依据JPEG标准基本系统顺序编码模式,在FPGA上实现了DCT(Discrete Cosine Transform)变换、量化、Zig-Zag扫描、直流系数DPCM(Differential Pulse Code Modulation)编码、交流系数RLC(Run Length code)编码、霍夫曼编码等主要步骤,最后用实际的图像数据块对系统进行了验证。

    标签: FPGA 图像处理 压缩编码 算法

    上传时间: 2013-04-24

    上传用户:qazwsc

  • 基于FPGA实现雷达信号处理和图像显示

    在船舶交管系统中,雷达信息处理是最重要的组成部分。视频回波处理中的杂波处理要求实时性很高,大约要在一个距离单元的时间(0.05-0.1us)内完成。杂波处理如恒虚警处理本身比较复杂,这类处理过程又要求快速,图像显示系统要求及时的把接收到的雷达方位数据从极坐标转换成直角坐标。在软件上实现这些算法虽然精度可以达到,但是实时性问题不能满足。因此这类问题多采用高速专用数字设备来实现。FPGA在数字信号处理领域有非常广阔的应用前景,以其优良的性能在数字信号处理中发挥了重大的作用。CORDIC算法可以在硬件上以很高的精度实现一些函数和运算。针对以上几点,本文提出了利用CORDIC算法,基于FPGA来实现雷达信号处理和图像显示的算法研究,用硬件来实现正弦、余弦、正切、乘法、除法、指数和对数等基本函数和运算,把他们设计成为可重用的IP core,这样可以满足实时性和精度的问题。从而在将来的算法研究中方便的调用,这样在算法研究中可以节约大量的时间,在一定程度上降低研究的难度。 围绕雷达信号处理和图像显示,本次课题设计主要做了如下工作: 1.对CORDIC算法进行分析和研究,以及它在雷达信号处理和图像显示中的影响。 2.成功用硬件描述语言在Xilinx公司软件ISE的环境下编写代码,在Synplify和Modelsim上做了综合和仿真。 3.对实验结果进行精度和速度分析。 4.对雷达信号处理和图像显示的相关算法进行分析和研究。 5.从实例分析IP core的特点,对算法研究的影响和IP core在雷达信号处理和图像显示中的应用。 最终在实践环节,成功利用CORDIC算法,在FPGA上实现可重用的IP core,这些IP core能够以很高的精度实现一些基本函数和运算,在雷达信号处理与图像显示中起到很大的作用。

    标签: FPGA 雷达信号处理 图像显示

    上传时间: 2013-07-16

    上传用户:steele

  • 跳频信号检测与接收系统

    扩展频谱通信技术,它的突出优点是保密性好,抗干扰性强.随着通信系统与现代计算机软、硬件技术与微电子技术发展,越来越多的通信系统构建于这种技术之上.在实际扩频通信系统工程中,用得比较普遍的是直扩方式和跳频方式,它们的不同在于直扩是采取隐藏的方式对抗干扰,而跳频采取躲避的方式. 西方国家早在20世纪50年代就开始对跳频通信进行研究,在上个世纪末的几次局部战争中,跳频电台得到了普遍的应用.跳频通信的发展促进了其对抗技术的发展,目前,世界主要几个军事先进的国家,已经研究出高性能的跳频通信对抗设备,国内这方面的发展相对国外差距比较大. 未来战争是科学技术的斗争,研究跳频通信对抗势在必行.基于这种目的,本文研究和设计了跳频检测的FPGA实现,利用基于时频分析的处理方法,完成了跳频信号检测的FPGA实现,通过测试,表明系统达到了设计要求,可以满足实际的需要.主要内容包括: 1.概述了跳频检测接收研究的发展动态,阐述了扩展频谱通信及短时傅立叶变换的原理. 2.分析了基于快速傅立叶变换(FFT)处理跳频信号,检测跳频的可行性,利用FFT检测频谱的原理,合理使用频谱采样策略,做到了增加频谱利用率,提高了检测概率和分析信噪比;利用抽取内插技术完成数据速率的转换,使其满足后续信号的处理要求;利用同相和正交的DDC实现结构,完成对跳频信号的解跳. 3.设计完成了跳频信号检测与接收系统的FPGA实现,其主要包括:数据速率变换的实现,FIR低通滤波器的实现,快速傅立叶变换(FFT)的实现,下变频的实现等.在滤波器的实现中,提出了两种设计方法:基于常系数乘法器和分布式算法滤波器,分析了上述两种方法的优缺点,选择用分布式算法实现设计中的低通滤波器;在快速傅立叶变换实现中,分析了基2和基4的算法结构,并分别实现了基2和基4的算法,满足了不同场合对处理器的要求.在下变频的设计中,使用滤波器的多相结构完成抽取的实现,并使用低通滤波器使信号带宽满足指标的要求.此外,设计中还包括双端口RAM的实现,比较模块的实现、数据缓存模块和串并转换模块的实现. 4.介绍了实现系统的硬件平台.

    标签: 跳频信号 检测 接收系统

    上传时间: 2013-04-24

    上传用户:zttztt2005

  • 线性调频信号的脉冲压缩系统

    本文完成了一种高速高性能数字脉冲压缩处理器的设计和FPGA实现,包括系统架构设计、方案论证及仿真、算法实现、结果的测试等。 绪论部分首先阐明了本课题研究的背景和意义,概述了雷达数字脉冲压缩系统的主要研究内容,关键技术及其发展趋势,然后介绍了数字脉冲压缩系统设计与实现的要求,最后给出了本文的主要研究内容。 第二章叙述了线性调频信号脉冲压缩的基本原理,对系统设计的实现方法进行了实时性方面的论证,并基于MATLAB做了仿真分析。 第三章从数字系统结构化设计方面将本系统划分为三个部分:输入部分、脉压计算部分、输出部分,并在流程图中对各部分所要实现的功能做了介绍。 第四章首先总结了数字脉冲压缩的实现途径;提出了基于自定制浮点数据格式和分时复用蝶型结构的数字脉冲压缩系统设计思想,对其关键技术进行了深入的研究。 第五章对输入输出模块的功能做了详细的描述,设计了具体的结构和电路。 第六章针对系统的测试验证,提出面向SOC的模块验证和系统软硬协同验证的验证策略。通过Link for Modelsim工具,实现MATAB与Modelsim之间对VHDL代码的联合仿真测试,通过在线逻辑分析工具ChipScope,完成系统的片上测试,并分析系统的性能,证明系统的可实用性。满足设计的要求。 本文研制的数字脉冲压缩处理器具有动态范围大、处理精度高、处理能力强、体积小、重量轻、实时性好的优点,为设计高性能的现代雷达信号处理系统提供了可靠的保证。

    标签: 线性调频信号 脉冲压缩

    上传时间: 2013-07-01

    上传用户:lingduhanya

  • GPS信号CA码跟踪的FPGA实现

    GPS全球定位系统是美国国防部为军事目的而建立的卫星导航系统,其主要目的是解决海上、陆地和空中运载工具的导航定位问题。GPS作为新一代卫星导航系统,不仅具有全球、全天候、连续、高精度导航与定位能力,而且具有优良的抗干扰性和保密性。因此,发展全球定位系统是当今导航技术现代化的一个重要标志。在GPS接收机中,为了得到导航电文并对其进行解算,要完成复杂的信号处理过程。其中,怎样捕获到卫星信号,并对C/A码进行跟踪是研制GPS接收机的重要问题之一。本文在对GPS信号的结构进行深入的分析后,结合FPGA的特点,对算法进行设计及优化后,给出了相应的仿真。内容主要包括以下几个方面: 1.对GPS信号结构的产生原理进行了深入地分析,并对GPS信号的调制机理进行详细地阐述。 2.在GPS信号的捕获方面,采用了基于FFT频域的快速捕获的方法,即将接收到的GPS信号先利用快速傅立叶变换(FFT)变换到频域,在频域完成相应的运算后,再利用傅立叶反变换(IFFT)变换到时域。从而大大减少了计算量,加快了信号捕获的速度,提高了捕获性能。 3.在C/A码跟踪部分,本文采用了非相干延迟锁定环对C/A码进行跟踪。来自载波跟踪环路的本地载波将输入的信号变成基带信号,然后分别和本地码的三个不同相位序列进行相乘,将相乘结果进行累加,经过处理将得到码相位和当前的载波频率送到载波跟踪环路。 4.载波跟踪环,本文采用的是科斯塔斯环。载波跟踪环和码跟踪环在结构上相似,故本文只对关键的载波NCO进行了仿真。 本文的创新点主要是使用FPGA对整个GPS信号的捕获及C/A码的跟踪进行设计。此外,根据FPGA的特点,在不改变外部硬件设计的前提下,改变相应的IP核或相关的VHDL程序就可对系统进行各种优化设计,以适应不同类型的GPS接收机的不同功能。

    标签: FPGA GPS 信号

    上传时间: 2013-06-27

    上传用户:哇哇哇哇哇

  • Morlet小波分析的BOTDR信号处理

    基于布里渊散射的分布式光纤传感器是当前国内外研究的热点。本文介绍了基于布里渊散射的分布式光纤传感器的的原理、应用;布里渊时域反射技术(BOTDR)和布里渊时域分析技术(BOTDA)的原理。 受激布里渊散射(SBS)的过程中,入射光和散射光满足耦合振幅方程组。我们对该方程组采用有限差分法进行数值计算,并用Matlab模拟计算过程,对布里渊散射信号进行分析。 根据布里渊散射信号的特点,我们采用基于Morlet小波变换的DSP信号算法来处理 BOTDR传感信号。通过对该算法的核心单元——快速傅立叶变换(FFT)的硬件实现,我们在Stratix FPGA上实现了基于Morlet小波变换的DSP算法的硬件电路设计。 最后,在此基础上,我们对电路功能进行实际的仿真和验证,并和Matlab得到结果进行比较和分析。

    标签: Morlet BOTDR 小波分析 信号处理

    上传时间: 2013-07-22

    上传用户:牛布牛

  • 基于FPGA的PCI总线接口桥接逻辑

    随着信息技术的发展,数字信号的采集与处理在科学研究、工业生产、航空航天、医疗卫生等部门得到越来越广泛的应用,这些应用中对数字信号的传输速度提出了比较高的要求。传统的基于ISA总线的信号传输效率低,严重制约着系统性能的提高。 PCI总线以其高性能、低成本、开放性、软件兼容性等众多优点成为当今最流行的计算机局部总线。但是,由于PCI总线硬件接口复杂、不易于接入、协议规范比较繁琐等缺点,常常需要专用的接口芯片作为桥接,为了解决这一系列问题,本文提出了一种基于FPGA的PCI总线接口桥接逻辑的实现方案,支持PCI突发访问方式,突发长度为8至128个双字长度,核心FPGA芯片采用ALTERA公司的CYCLONE FPGA系列的EP1C6Q240C8,容量为6000个逻辑宏单元,速度为-8,编译后系统速度可以达到80MHz,取得了良好的效果。 基于FPGA的PCI总线接口桥接逻辑的核心是PCI接口模块。在硬件方面,特别讨论了PCI接口模块、地址转换模块、数据缓冲模块、外部接口模块和SRAM DMA控制模块等五个功能模块的设计方案和硬件电路实现方法,着重分析了PCI接口模块的数据传输方式,采用模块化的方法设计了内部控制逻辑,并进行了相关的时序仿真和逻辑验证,硬件需要软件的配合才能实现其功能,因此设备驱动程序的设计是一个重要部分,论文研究了Windows XP体系结构下的WDM驱动模式的组成、开发设备驱动程序的工具以及开发系统实际硬件的设备驱动程序时的一些关键技术。 本文最后利用基于FPGA的PCI总线接口桥接逻辑中的关键技术,对PCI数据采集卡进行了整体方案的设计。该系统采用Altera公司的cyclone Ⅱ系列FPGA实现。

    标签: FPGA PCI 总线接口 桥接

    上传时间: 2013-05-22

    上传用户:彭玖华

  • DDS 短波信号发生器

    基于AD9851的DDS短波信号发生器,详细论述AD9851的使用,在做高频信号源时很值得参考。

    标签: DDS 短波信号 发生器

    上传时间: 2013-05-26

    上传用户:qweqweqwe