虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

信号解调器

  • fpga调制解调设计

    描述qam调制解调器设计步骤和仿真,便于初学者进行学习

    标签: fpga 调制解调

    上传时间: 2020-04-11

    上传用户:jeenw

  • 基于SX1278的水表端无线抄表控制器

    0引言随着科技的迅猛发展,高科技产品替代人力的趋势越来越明显,和生活息息相关的例子就是远程无线抄表。作为居民,家家户户都要安装的水表,人工抄表的工作量大、时效慢、不能做到即时读取和状态检测,而远程无线抄表则能够做到实时状态检测和抄收数据,不需要工作人员亲临现场进行抄收数据,因此,效率大大提高。远程抄表系统的功能是能够实时地、可靠地计量水用量和对水表实施远程抄收数据。在此背景下,本文设计了基于SX1278水表端无线抄表控制器。1硬件设计1.1控制器特性SX1278收发器主要采用 LoRa远程调制解调器[1用于长距离扩频通信,不仅抗干扰性强,而且功耗低,适用于电池待机的收发电路。当SX1278工作在LoRa模式时,能获得超过-148dBm的高灵敏度,并集成+20dBm的功率放大器,通信距 5km.SX1278频率范围137 ~ 1020MHz,带宽7.8-37.5kHz,数据传输速率180bps ~ 37.5kbps,能够检测信号强度,并对数据进行CRC校验。片上采用 8位超低功耗单片机 STMBL 151G,通过SPI接口对SX1278进行初始化,并实现计水表计数和开关阀门。1.2电路设计1.2.1接收和发送电路选择开关由于SX1278是半双工收发器,因此收发数据时要进行模式切换。图 1所示为U1模拟开关,通过CTR引脚和Vdd引脚的高低电平来选择天线连接的是接收电路还是发射电路。当 Vdd为低电平,CTRL为高电平,RF1通RFC当Vdd高电平,CTRL为低电平,RF2接通RFC

    标签: sx1278 无线抄表控制器

    上传时间: 2022-06-19

    上传用户:yb9018

  • VIP专区-嵌入式/单片机编程源码精选合集系列(91)

    VIP专区-嵌入式/单片机编程源码精选合集系列(91)资源包含以下内容:1. 非常 好用的模拟选通开关.2. 移植到ARM s3c2410平台的g722音频编解码库和测试程序。.3. ACM-12864汉字液晶显示驱动程序.4. A MPEG4 encoder, suit for ARM decode IP camera solution.5. Chipcon RF chip, CC1020 参考设计..6. TFT屏LTV350QV-F04的程序.7. RD800读卡器开发包.8. 0781@52RD_Qt嵌入式图形开发(入门篇.9. 新建文件夹!!!!!!!数电大实验!!!!!!!!!!2001介绍.10. 饮水机测温度原代码 用汇编语言写超过温度可以报警.11. 用C语言写的键盘扫描可以实现加减盛除的功能的计算器上面有电路图.12. 用C语言写的电子时钟可以准确的读出时间和进行时间小时分钟秒的设置.13. 这是要S3C2440的详细资料.14. S3C2410  BSP 开发板S3C2410 的板极支持包.15. 嵌入式软件软件方面的一本书。英文版.16. 等概率二进制码,循环码,跳频码,PPM-TH调制器,脉冲形成器,PPM-TH信号发送器。.17. Tiny printf function for embedded programming.18. 控制东西南北交通灯,非常有用!可以实现!.19. 嵌入式系统 —基于32位微处理器与实时操作系统 2006年春季 北京航空航天大学计算机学院.20. 基于SystemView 的AM调幅系统调制解调.21. 基于CPLD的交通灯系统设计.22. 很有用的哦!这是一个有关于发射电路的全部电路!.23. 基于T6963C的LCD液晶显示的实现.doc发表在《自动化技术与应用》的软件设计文章.24. 使用硬件I2C对E2PROM进行操作.25. 网上下的848主板的电路图 希望对大家有用.26. 详细解释了vsftpd的设置等方面的内容.27. 居与ARM9的最小文件系统.28. 将模拟温度传感器与数字转换接口电路集成在一起.29. 240128a液晶 详细技术手册(中文).30. hgo12864a液晶 详细技术手册 中文.31. 关于嵌入式C语言的一些精华资料.32. emc的实战实例,注释很详细的.一定对大家有帮助. 1 of 5.33. emc的实战例子 2 of 5 注释很详细,相信对大家一定有很大帮助..34. emc的实战例子 3 of 5 注释很详细,相信对大家一定有很大帮助..35. emc的实战例子 4 of 5 注释很详细,相信对大家一定有很大帮助..36. emc的实战例子 5 of 5 注释很详细,相信对大家一定有很大帮助..37. 这里有一个"EDA技术基础_第1章",谢谢大家支持!.38. 这是一篇课件_EDA技术基础_第2章 希望大家支持!.39. 这里接着是"EDA技术基础_第3章",谢谢大家支持!.40. 这里接下来是"EDA技术基础_第4章" 谢谢大家!.

    标签: 机械 工程设计

    上传时间: 2013-07-05

    上传用户:eeworm

  • FPGA可配置端口电路的设计.rar

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口

    上传时间: 2013-07-20

    上传用户:顶得柱

  • 基于ARM的光纤光栅温度监测系统

    电力变压器性能的好坏直接影响着电力系统的安全稳定运行。变压器绕组温度是变压器安全、经济运行以及使用寿命的决定性因素,已经成为变压器状态监测中健康隐患和故障发展的重要表现形式。通过对变压器绕组温度进行实时监测并判断其健康状况,以此来进行变压器的负荷调整和预知性维修,避免因绕组过热导致的变压器故障,可以提高变压器安全、经济运行水平,为电网安全运行带来重要保证。 传统的检测电力变压器温度的方法主要有红外温度检测、热电阻、热电偶温度检测等。红外测温为非接触测量,它只能测量变压器的表面温度,易受环境温度及周围磁场的干扰,且需人工操作,无法实现在线测量。对于热电阻、热电偶等测量法,在高频交变场中,导线会拾取噪声并由于涡流效应而发热。电导线的热导还会导致被测温度的扰动,测量效果不很理想。光纤光栅传感技术以其体积小、电绝缘、抗电磁干扰、易复用、传感信号可远距离传输、便于实现实时在线测量等优点,为电力变压器温度的测量提供了很好的技术手段。 本文在对国内外光纤光栅传感技术及其解调方案进行深入分析的基础上,设计了光纤布拉格光栅传感信号解调所需的硬件和软件,并进行了实验研究。论文涉及的主要工作有: 介绍了光纤的基本结构、布拉格光栅的工作机理及其制作方法,分析了光纤布拉格光栅作为传感元件时的基本参数,推导了光纤布拉格光栅的温度传感模型;详细介绍了目前常用的布拉格光纤光栅解调技术。 重点分析了监测系统的硬件电路设计及其原理,主要有微控制器相关电路的设计、光电转换电路、前置放大及滤波电路、AD转换电路、以太网通讯电路及液晶显示电路等。在硬件平台的基础上设计并测试了相关模块的驱动,实现温度的实时采集和发送。主要工作包括uC/OS—Ⅱ在LPC2148上的移植,利用LwIP实现以太网通讯等。 最后,搭建了系统光路,对监测系统进行了测试,得到了有益的数据,为下一步工作打下了良好的基础。

    标签: ARM 光纤光栅 温度监测

    上传时间: 2013-04-24

    上传用户:it男一枚

  • NE564,SE564锁相环演示板在FM和FSK和BPSK解调器上的应用

    The new demoboard set for the NE/SE564 provides the capabilityfor demonstrating three different

    标签: 564 BPSK FSK NE

    上传时间: 2013-04-24

    上传用户:long14578

  • 基于FPGA的数字中频处理技术研究

    软件无线电是无线电领域研究的热点。现阶段限于硬件的发展水平,大多采用宽带中频带通采样数字化结构,数字中频技术就成为实现该结构的关键技术。目前FPGA器件在数字信号处理技术的实现方面发挥着越来越重要的作用。本文目的正是要把这两者相结合,使数字中频处理在FPGA中得到实现,满足具体的应用要求。 首先,对软件无线电体系和数字中频处理结构进行了研究;其次,在信号采样理论、多速率数字信号处理理论、滤波器设计理论、FPGA硬件数字算法等理论的基础上,结合本文的应用需要,提出了适合于FPGA实现的数字化中频处理的系统方案:采用多相结构来高效的实现抽取,并用FIR滤波器作为低通抗混叠滤波器来实现6倍抽取的抗混叠滤波。对系统进行了Matlab仿真,以验证系统方案的可行性。再次,具体通过Vefilog编程在FPGA中硬件实现该数字中频系统。其中包括混频器模块、抽取滤波器模块、信号产生器模块。 最后对该系统进行了软件仿真和硬件功能验证,结果表明数字中频系统性能达到了设计要求。

    标签: FPGA 数字中频处理 技术研究

    上传时间: 2013-07-26

    上传用户:zhouli

  • 动态光谱数据采集与预处理

    人体血液成份的无创检测是生物医学领域尚未攻克的前沿课题之一,动态光谱法在理论上克服了其它检测方法难以逾越的障碍——个体差异和测量条件对检测结果的影响。实现动态光谱检测,其关键在于采集多波长的光电容积脉搏波信号,并对其进行处理。针对动态光谱检测中信号微弱、信噪比低、处理数据量大的特点,本文设计了基于FPGA和面阵CCD摄像头的动态光谱数据采集与预处理系统,提高检测精度,采集出满足动态光谱信号提取要求的光电脉搏波;并对动态光谱频域提取法的核心算法FFT的FPGA实现进行研究。 课题提出用高灵敏度的面阵CCD摄像头替代常规光栅光谱仪中的光电接收器,实现对多波长的光电容积脉搏波的检测。结合面阵CCD的二维图像特点,采用信号累加法去除噪声,提高信号的信噪比。 创新性的提出一种不同于以往的信号累加方法——将处于同一行的视频信号在采样过程中直接累加,然后再进行传输和存储。不同于帧累加和异行累加,这种同行累加方式不但大大的提高了信号的信噪比,同时减小了数据的传输速度和传输量,降低了对存储器容量的要求,改善了动态光谱信号检测系统的性能。 针对面阵CCD摄像头输出的复合视频信号的特点,设计视频信号解调电路,得到高速、高精度的数字视频信号和准确的视频同步信号,用于后续的视频信号采集与处理。 根据动态光谱信号检测和视频信号采集的要求,选择可编程逻辑器件FPGA作为硬件平台,设计并实现了基于FPGA和面阵CCD摄像头的光电脉搏波采集与预处理系统。该系统实现了视频信号的精确定位,通过光谱信号的高速同行累加,实现了光电脉搏波信号的高精度检测。系统采用基于FPGA的Nios II嵌入式处理器系统,通过对其应用程序的开发,可靠的实现了数据的采集、传输和存储,提高了系统的集成度,降低了开发成本。 为实现动态光谱信号的频域提取,研究了基于FPGA的FFT实现方案,对各关键模块进行设计,为动态光谱信号的进一步处理打下良好的基础。 最后,通过实验证明了系统数据采集的正确性和信号预处理的可行性,得到了符合动态光谱信号提取要求的脉搏波信号。

    标签: 动态 光谱数据采集 预处理

    上传时间: 2013-04-24

    上传用户:cknck

  • GPS系统设计及其FPGA验证

    近年来,GPS技术迅速发展,并随着3G时代的到来,其应用领域日益广阔,需求量与日俱增。与此同时,随着电路系统设计越来越复杂,上市时间日益缩短,集成电路设计方法面临重大变革。因此采用新型方法学来设计GPS接收系统是必要的。 本文基于GPS原理,采用可复用的IP技术和软硬协同设计技术,设计了一种高性能的GPS SOC接收系统。论文首先分析了GPS信号解调的原理,提出了一种高性能的捕获和跟踪系统结构,详细说明了其工作流程和设计原理。其次,基于高性能总线的选取提出了整个基带系统地结构,并阐明了总线上的各个模块设计方法。采用了直接复用的测试手段和FPGA的测试平台,缩短开发周期,而且保证了对整个系统测试的覆盖率。本文所设计的系统最大特色在于易于集成到其它系统中,并且仅占用10个芯片端口,实现了IP化的设计目的。 最后本文介绍了测试过程中所采用的基于FPGA平台的仿真验证方案和测试方法,并给出了最终的测试结果,达到了对卫星信号搜索定位的目的。

    标签: FPGA GPS 系统设计

    上传时间: 2013-04-24

    上传用户:starlet007

  • FPGA可配置端口电路的设计

    可配置端口电路是FPGA芯片与外围电路连接关键的枢纽,它有诸多功能:芯片与芯片在数据上的传递(包括对输入信号的采集和输出信号输出),电压之间的转换,对外围芯片的驱动,完成对芯片的测试功能以及对芯片电路保护等。 本文采用了自顶向下和自下向上的设计方法,依据可配置端口电路能实现的功能和工作原理,运用Cadence的设计软件,结合华润上华0.5μm的工艺库,设计了一款性能、时序、功耗在整体上不亚于xilinx4006e[8]的端口电路。主要研究以下几个方面的内容: 1.基于端口电路信号寄存器的采集和输出方式,本论文设计的端口电路可以通过配置将它设置成单沿或者双沿的触发方式[7],并完成了Verilog XL和Hspiee的功能和时序仿真,且建立时间小于5ns和保持时间在0ns左右。和xilinx4006e[8]相比较满足设计的要求。 2.基于TAP Controller的工作原理及它对16种状态机转换的控制,对16种状态机的转换完成了行为级描述和实现了捕获、移位、输出、更新等主要功能仿真。 3.基于边界扫描电路是对触发器级联的构架这一特点,设计了一款边界扫描电路,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。达到对芯片电路测试设计的要求。 4.对于端口电路来讲,有时需要将从CLB中的输出数据实现异或、同或、与以及或的功能,为此本文采用二次函数输出的电路结构来实现以上的功能,并运用Verilog XL和Hspiee对它进行了功能和时序的仿真。满足设计要求。 5.对于0.5μm的工艺而言,输入端口的电压通常是3.3V和5V,为此根据设置不同的上、下MOS管尺寸来调整电路的中点电压,将端口电路设计成3.3V和5V兼容的电路,通过仿真性能上已完全达到这一要求。此外,在输入端口处加上扩散电阻R和电容C组成噪声滤波电路,这个电路能有效地抑制加到输入端上的白噪声型噪声电压[2]。 6.在噪声和延时不影响电路正常工作的范围内,具有三态控制和驱动大负载的功能。通过对管子尺寸的大小设置和驱动大小的仿真表明:在实现TTL高电平输出时,最大的驱动电流达到170mA,而对应的xilinx4006e的TTL高电平最大驱动电流为140mA[8];同样,在实现CMOS高电平最大驱动电流达到200mA,而xilinx4006e的CMOS驱动电流达到170[8]mA。 7.与xilinx4006e端口电路相比,在延时和面积以及功耗略大的情况下,本论文研究设计的端口电路增加了双沿触发、将输出数据实现二次函数的输出方式、通过添加译码器将配置端口的数目减少的新的功能,且驱动能力更加强大。

    标签: FPGA 可配置 端口 电路

    上传时间: 2013-06-03

    上传用户:aa54