虫虫首页| 资源下载| 资源专辑| 精品软件
登录| 注册

信号覆盖

  • GPS信号CA码跟踪的FPGA实现

    GPS全球定位系统是美国国防部为军事目的而建立的卫星导航系统,其主要目的是解决海上、陆地和空中运载工具的导航定位问题。GPS作为新一代卫星导航系统,不仅具有全球、全天候、连续、高精度导航与定位能力,而且具有优良的抗干扰性和保密性。因此,发展全球定位系统是当今导航技术现代化的一个重要标志。在GPS接收机中,为了得到导航电文并对其进行解算,要完成复杂的信号处理过程。其中,怎样捕获到卫星信号,并对C/A码进行跟踪是研制GPS接收机的重要问题之一。本文在对GPS信号的结构进行深入的分析后,结合FPGA的特点,对算法进行设计及优化后,给出了相应的仿真。内容主要包括以下几个方面: 1.对GPS信号结构的产生原理进行了深入地分析,并对GPS信号的调制机理进行详细地阐述。 2.在GPS信号的捕获方面,采用了基于FFT频域的快速捕获的方法,即将接收到的GPS信号先利用快速傅立叶变换(FFT)变换到频域,在频域完成相应的运算后,再利用傅立叶反变换(IFFT)变换到时域。从而大大减少了计算量,加快了信号捕获的速度,提高了捕获性能。 3.在C/A码跟踪部分,本文采用了非相干延迟锁定环对C/A码进行跟踪。来自载波跟踪环路的本地载波将输入的信号变成基带信号,然后分别和本地码的三个不同相位序列进行相乘,将相乘结果进行累加,经过处理将得到码相位和当前的载波频率送到载波跟踪环路。 4.载波跟踪环,本文采用的是科斯塔斯环。载波跟踪环和码跟踪环在结构上相似,故本文只对关键的载波NCO进行了仿真。 本文的创新点主要是使用FPGA对整个GPS信号的捕获及C/A码的跟踪进行设计。此外,根据FPGA的特点,在不改变外部硬件设计的前提下,改变相应的IP核或相关的VHDL程序就可对系统进行各种优化设计,以适应不同类型的GPS接收机的不同功能。

    标签: FPGA GPS 信号

    上传时间: 2013-06-27

    上传用户:哇哇哇哇哇

  • Morlet小波分析的BOTDR信号处理

    基于布里渊散射的分布式光纤传感器是当前国内外研究的热点。本文介绍了基于布里渊散射的分布式光纤传感器的的原理、应用;布里渊时域反射技术(BOTDR)和布里渊时域分析技术(BOTDA)的原理。 受激布里渊散射(SBS)的过程中,入射光和散射光满足耦合振幅方程组。我们对该方程组采用有限差分法进行数值计算,并用Matlab模拟计算过程,对布里渊散射信号进行分析。 根据布里渊散射信号的特点,我们采用基于Morlet小波变换的DSP信号算法来处理 BOTDR传感信号。通过对该算法的核心单元——快速傅立叶变换(FFT)的硬件实现,我们在Stratix FPGA上实现了基于Morlet小波变换的DSP算法的硬件电路设计。 最后,在此基础上,我们对电路功能进行实际的仿真和验证,并和Matlab得到结果进行比较和分析。

    标签: Morlet BOTDR 小波分析 信号处理

    上传时间: 2013-07-22

    上传用户:牛布牛

  • DDS 短波信号发生器

    基于AD9851的DDS短波信号发生器,详细论述AD9851的使用,在做高频信号源时很值得参考。

    标签: DDS 短波信号 发生器

    上传时间: 2013-05-26

    上传用户:qweqweqwe

  • 海事卫星突发信号位同步检测

    码元定时恢复(位同步)技术是数字通信中的关键技术。位同步信号本身的抖动、错位会直接降低通信设备的抗干扰性能,使误码率上升,甚至会使传输遭到完全破坏。尤其对于突发传输系统,快速、精确的定时同步算法是近年来研究的一个焦点。本文就是以Inmarsat GES/AES数据接收系统为背景,研究了突发通信传输模式下的全数字接收机中位同步方法,并予以实现。 本文系统地论述了位同步原理,在此基础上着重研究了位同步的系统结构、码元定时恢复算法以及衡量系统性能的各项指标,为后续工作奠定了基础。 首先根据卫星系统突发信道传输的特点分析了传统位同步方法在突发系统中的不足,接下来对Inmarsat系统的短突发R信道和长突发T信道的调制方式和帧结构做了细致的分析,并在Agilent ADS中进行了仿真。 在此基础上提出了一种充分利用报头前导比特信息的,由滑动平均、阈值判断和累加求极值组成的快速报头时钟捕获方法,此方法可快速精准地完成短突发形式下的位同步,并在FPGA上予以实现,效果良好。 在长突发形式下的报头时钟捕获后还需要对后续数据进行位同步跟踪,在跟踪过程中本论文首先用DSP Builder实现了插值环路的位同步算法,进行了Matlab仿真和FPGA实现。并在插值环路的基础上做出改进,提出了一种新的高效的基于移位算法的位同步方案并予以FPGA实现。最后将移位算法与插值算法进行了性能比较,证明该算法更适合于本项目中Inmarsat的长突发信道位同步跟踪。 论文对两个突发信道的位同步系统进行了理论研究、算法设计以及硬件实现的全过程,满足系统要求。

    标签: 海事卫星 信号 位同步 检测

    上传时间: 2013-04-24

    上传用户:zukfu

  • 基于FPGA的雷达信号侦察数字接收机

    随着信号处理技术的进步和电子技术的发展,雷达信号侦察接收机逐渐从模拟体制向数字体制转变。软件无线电概念的提出,促使雷达侦察接收机朝大带宽、全截获方向发展,现有的串行信号处理体制已经很难满足系统要求。FPGA器件的出现,为实现宽带雷达信号侦察数字接收机提供了硬件支持。 本文结合FPGA芯片特点,在前人研究基础上,从算法和硬件实现两方面,对雷达信号侦察数字接收机若干关键技术进行了研究和创新,主要研究内容包括以下几个方面。 1)给出了基于QuartusII/Matlab和ISE/ModelSim/Matlab的两种FPGA设计联合仿真技术。这种联合仿真技术,大大提高了基于FPGA的雷达信号侦察数字接收机的设计效率。 2)给出了一种基于FFT/IFFT的宽带数字正交变换算法,并将该算法在FPGA中进行了硬件实现,设计可对600MHz带宽内的输入信号进行实时正交变换。 3)提出了一种全并行结构FFT的FPGA实现方案,并将其在FPGA芯片中进行了硬件实现,设计能够在一个时钟周期内完成32点并行FFT运算,满足了数字信道化接收机对数据处理速度的要求。 4)提出了一种自相关信号检测FPGA实现方案,通过改变FIFO长度改变自相关运算点数,实现了弱信号检测。提出通过二次门限处理来消除检测脉冲中的毛刺和凹陷,降低了虚警概率,提高了检测结果的可靠性。 5)在单通道自相关信号检测算法基础上,提出采用三路并行检测,每路采用不同的相关点数和检测门限,再综合考虑三路检测结果,得到最终检测结果。给出了算法FPGA实现过程,并对设计进行了联合时序仿真,提高了检测性能。 6)给出了一种利用FFT变换后的两根最大谱线进行插值的快速高精度频率估计方法,并将该算法在FPGA硬件中进行了实现。通过利用FFT运算后的实/虚部最大值进行插值,降低了硬件资源消耗、缩短了运算延迟。 7)结合4)、5)、6)中的研究成果,完成了对雷达脉冲信号到达时间、终止时间、脉冲宽度和脉冲频率的估计,最终在一块FPGA芯片内实现了一个精简的雷达信号侦察数字接收机,并在微波暗室中进行了测试。

    标签: FPGA 雷达信号 数字接收机

    上传时间: 2013-06-13

    上传用户:Divine

  • 基于FPGA的数字合成信号发生器

    直接数字频率合成(DDS)技术采用全数字的合成方法,所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 在理论上对DDS的原理及其输出信号的性能进行了分析,采用FPGA实现了任意波形发生器,能够产生三角波、锯齿波、调频波、调相波、调幅波和碎发等十几种波形,并能通过串行口下载任意波形。在设计频率调制电路时采用了频率字运算单元和相位累加器相结合的结构,该方法既可实现宽带线性调频,又可实现非线性调频。完成了软件和硬件的设计和调试。对实验样机进行了测试,结果表明性能指标达到了设计要求。

    标签: FPGA 数字 合成 信号发生器

    上传时间: 2013-05-26

    上传用户:1234567890qqq

  • 中兴通讯 信号完整性

    在中、大规模电子系统的设计中,系统地综合运用信号完整性技术可以 带来很多好处,如缩短研发周期、降低产品成本、降低研发成本、提高产品性能 、提高产品可靠性。 数字电路在具有逻辑电路功能的同时,也具有丰富的模拟特性,电路设 计工程师需要通过精确测定、或估算各种噪声的幅度及其时域变化,将电路抗干 扰能力精确分配给各种噪声,经过精心设计和权衡,控制总噪声不超过电路的抗 干扰能力,保证产品性能的可靠实现。

    标签: 中兴通讯 信号完整性

    上传时间: 2013-05-18

    上传用户:crazykook

  • 数字信号处理与噪音抑制

    数字信号处理与噪音抑制Advanced Digital Signal Processing and Noise Reduction,这个是第二版。

    标签: 数字信号处理

    上传时间: 2013-04-24

    上传用户:Avoid98

  • 线性调频脉冲压缩雷达目标视频信号

    雷达信号模拟技术和现代雷达技术的发展息息相关。雷达信号模拟设备可以仿真出各种符合实验要求的目标信号来,直接注入雷达来对雷达进行试验,极大的方便了雷达的设计与调试。 本课题主要研究利用FPGA实现线性调频脉冲压缩雷达目标信号的模拟。全文的内容如下: 首先详细阐述了线性调频(LFM)脉冲压缩雷达脉冲压缩原理,分析了线性调频脉冲信号的特点,讨论和比较了匹配滤波数字实现的两种算法:时域实现和频域实现。 其次在对常用雷达信号模拟方法探讨的基础上,提出基于FPGA的线性调频脉冲压缩雷达目标视频信号模拟器的系统设计,对点目标、多目标和延展目标等情况下的目标信号进行建模,针对设定目标参数完成了目标信号的波形仿真,并完成基于频域实现方法的线性调频脉冲压缩雷达数字匹配滤波算法的设计及仿真。 最后,在Quartus Ⅱ 6.0平台上,完成模拟器中脉冲压缩等信号处理部分基于Verilog HDL 语言的软件设计及功能、时序仿真,并完成了相关硬件的设计。

    标签: 线性 调频 脉冲压缩 视频信号

    上传时间: 2013-07-13

    上传用户:squershop

  • 基于FPGA的数字信号发生器

    数字信号发生器是数字信号处理中不可缺少的调试设备。在某工程项目中,为了提供特殊信号,比如雷达信号,就需要设计专用的数字信号发生器,用以达到发送雷达信号的要求。在本文中提出了使用PCI接口的专用数字信号发生器方案。 该方案的目标是能够采录雷达信号,把信号发送到主机作为信号文件存储起来,然后对这个信号文件进行航迹分离,得到需要的航迹信号文件。同时,信号发生器具有发送信号的功能,可以把不同形式的信号文件发送到检测端口,用于设备调试。 在本文中系统设计主要分为硬件和软件两个方面来介绍: 硬件部分采用了FPGA逻辑设计加上外围电路来实现的。在硬件设计中,最主要的是FPGA逻辑设计,包括9路主从SPI接口信号的逻辑控制,片外SDRAM的逻辑控制,PCI9054的逻辑控制,以及这些逻辑模块间信号的同步、发送和接收。在这个过程中信号的方向是双向的,所选用的芯片都具有双向数据的功能。 在本文中软件部分包括驱动软件和应用软件。驱动软件采用PLXSDK驱动开发,通过控制PCI总线完成数据的采录和发送。应用软件中包括数据提取和数据发送,采用卡尔曼滤波器等方法。 通过实验证明该方案完全满足数据传输的要求,达到SPI传输的速度要求,能够完成航迹提取,以及数据传输。

    标签: FPGA 数字信号发生器

    上传时间: 2013-07-03

    上传用户:xzt